2014
DOI: 10.1117/1.jmm.13.4.043002
|View full text |Cite
|
Sign up to set email alerts
|

Performance evaluation of nonchemically amplified negative tone photoresists for e-beam and EUV lithography

Abstract: Abstract. Although extreme ultraviolet (EUV) lithography is being considered as one of the most promising nextgeneration lithography techniques for patterning sub-20 nm features, the development of suitable EUV resists remains one of the main challenges confronting the semiconductor industry. The goal is to achieve sub-20 nm line patterns having low line edge roughness (LER) of <1.8 nm and a sensitivity of 5 to 20 mJ∕cm 2 . The present work demonstrates the lithographic performance of two nonchemically amplifi… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

1
32
0

Year Published

2015
2015
2023
2023

Publication Types

Select...
7
1

Relationship

1
7

Authors

Journals

citations
Cited by 24 publications
(34 citation statements)
references
References 23 publications
1
32
0
Order By: Relevance
“…3) revealed typical signals corresponding to the aliphatic and aromatic contributions (C-C/C-H), C-O, C-S, COO, and CF 3 functionalities, which agree with the data previously obtained for the MAPDST homopolymer and MAPDST-MMA copolymers. 15,21,[35][36][37] The S 2p spectrum of the untreated 2.15% MAPDSA-MAPDST surface shows four spinorbit split doublets, having binding energies that are characteristic of S-C, 38,39 S]O, SO 3 , and SO 4 . 40 The presence of four contributions in the S 2p envelope was necessary to t the experimental signal, which probably could be caused by a possible partial oxidation/degradation of the resists.…”
Section: Resultsmentioning
confidence: 99%
See 2 more Smart Citations
“…3) revealed typical signals corresponding to the aliphatic and aromatic contributions (C-C/C-H), C-O, C-S, COO, and CF 3 functionalities, which agree with the data previously obtained for the MAPDST homopolymer and MAPDST-MMA copolymers. 15,21,[35][36][37] The S 2p spectrum of the untreated 2.15% MAPDSA-MAPDST surface shows four spinorbit split doublets, having binding energies that are characteristic of S-C, 38,39 S]O, SO 3 , and SO 4 . 40 The presence of four contributions in the S 2p envelope was necessary to t the experimental signal, which probably could be caused by a possible partial oxidation/degradation of the resists.…”
Section: Resultsmentioning
confidence: 99%
“…In the last years we have studied the photofragmentation of several n-CAR homopolymers and co-polymers under EUV synchrotron radiation (SR) excitation. 4,9,15,16,21 The obtained results showed that the photodegradation processes affected mainly the triate group but also the carbon backbone of the resists. In those works it was hypothesized that the neutral sulde Ar-S-CH 3 is formed aer irradiation rendering the irradiated area insoluble in the developer.…”
Section: Introductionmentioning
confidence: 93%
See 1 more Smart Citation
“…In our previous work, it was hypothesized that the copolymer may develop a rearrangement during irradiation that finally kept the S−C and SO x functional groups almost intact. 7 We have also observed that in copolymers of MMA and poly(MAPDST-co-MMA), the former acts as a dissolution inhibitor, whereby patterning can be achieved at lower doses versus the MAPDST homopolymer. From this, we can infer that the MMA unit in the polymer microstructure provides photostability.…”
Section: ■ Results and Discussionmentioning
confidence: 89%
“…Research in short wavelength lithography, especially for extreme ultraviolet lithography (EUVL), is attracting considerable attention [1][2][3][4][5][6][7][8][9][10] . The next generation EUV photoresists should combine high etch resistance, high imaging quality and appropriate light absorption.…”
Section: Introductionmentioning
confidence: 99%