2010
DOI: 10.1007/s11265-010-0470-0
|View full text |Cite
|
Sign up to set email alerts
|

Parallel Architecture Core (PAC)—the First Multicore Application Processor SoC in Taiwan Part I: Hardware Architecture & Software Development Tools

Abstract: In order to develop a low-power and highperformance SoC platform for multimedia applications, the Parallel Architecture Core (PAC) project was initiated in Taiwan in 2003. AVLIW digital signal processor (PACDSP) has been developed from a proprietary instruction set with multimedia-rich instructions, a complexity-effective microarchitecture with an innovative distributed & ping-pong register organization and variable-length VLIW encoding, to a highly-configurable soft IP with several successful silicon implemen… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4
1

Citation Types

0
5
0

Year Published

2010
2010
2013
2013

Publication Types

Select...
4
2

Relationship

1
5

Authors

Journals

citations
Cited by 15 publications
(5 citation statements)
references
References 11 publications
0
5
0
Order By: Relevance
“…At last, these programs are evaluated on PACDSP with a cycle‐accurate instruction set simulator. They are also verified on real hardware platforms to ensure correctness and demonstrate performance. Performance numbers we obtained for DSPstone and H.264 kernels are presented in Figure , where performance numbers are shown in speedups over the original programs compiled with the current best compiler level, O2.…”
Section: Experiments and Discussionmentioning
confidence: 99%
See 2 more Smart Citations
“…At last, these programs are evaluated on PACDSP with a cycle‐accurate instruction set simulator. They are also verified on real hardware platforms to ensure correctness and demonstrate performance. Performance numbers we obtained for DSPstone and H.264 kernels are presented in Figure , where performance numbers are shown in speedups over the original programs compiled with the current best compiler level, O2.…”
Section: Experiments and Discussionmentioning
confidence: 99%
“…In this paper, we focus on a VLIW DSP processor, which features novel distributed register designs. The target DSP processor, known as Parallel Architecture Core (PAC) DSP, is a 32‐bit five‐way VLIW DSP processor developed by ITRI Taiwan to serve as SIMD engines in multicore SoC platforms . PACDSP features a clustered architecture with distinctively banked register files whose port access is highly restricted.…”
Section: Introductionmentioning
confidence: 99%
See 1 more Smart Citation
“…To achieve this goal, we proposed a message-passing library, called MSG, for inter-core communications on the x86 platform, the Cell platform [4] and the PAC Duo platform [5], [6]. We first divided the communication library into three layers and designed each layer to achieve different goals.…”
Section: Introductionmentioning
confidence: 99%
“…Likewise, the PAC project executed by ITRI [8] in Taiwan provides the alternative solution. The first part [9] of these two introductory papers has presented the development results of hardware architecture and toolchains of the PAC SoC. The hardware architecture resolves several specializations, such as clustered VLIW architecture with simple inter-cluster communication mechanism, complexity-reduced distributed and ping-pong register file, efficient variable-length VLIW instruction encoding architecture, and DVFS capability.…”
Section: Introductionmentioning
confidence: 99%