Proceedings of the Twentieth International Conference on Architectural Support for Programming Languages and Operating Systems 2015
DOI: 10.1145/2694344.2694381
|View full text |Cite
|
Sign up to set email alerts
|

Page Placement Strategies for GPUs within Heterogeneous Memory Systems

Abstract: Systems from smartphones to supercomputers are increasingly heterogeneous, being composed of both CPUs and GPUs. To maximize cost and energy efficiency, these systems will increasingly use globally-addressable heterogeneous memory systems, making choices about memory page placement critical to performance. In this work we show that current page placement policies are not sufficient to maximize GPU performance in these heterogeneous memory systems. We propose two new page placement policies that improve GPU per… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1

Citation Types

2
33
0

Year Published

2017
2017
2019
2019

Publication Types

Select...
3
3
1

Relationship

0
7

Authors

Journals

citations
Cited by 92 publications
(35 citation statements)
references
References 34 publications
2
33
0
Order By: Relevance
“…• BW-AWARE denotes a synergistic bandwidth-aware page placement policy in [2]. It places the GPU pages across the heterogeneous memory system, i.e., GDDR5 and DDR3 DRAM, and their memory bandwidth is shared across GPU pages.…”
Section: Simulation Platformmentioning
confidence: 99%
See 2 more Smart Citations
“…• BW-AWARE denotes a synergistic bandwidth-aware page placement policy in [2]. It places the GPU pages across the heterogeneous memory system, i.e., GDDR5 and DDR3 DRAM, and their memory bandwidth is shared across GPU pages.…”
Section: Simulation Platformmentioning
confidence: 99%
“…Because of discrepant bandwidth requirements, it is anticipated that GPU will be still physically attached with bandwidth-optimized DRAM, while CPU is attached with capacity-and cost-optimized DRAM. DRAMs of GPU and CPU share a unified memory address space [2]. In such heterogeneous cache coherent non-uniform memory access (CC-NUMA) system, a computing unit has different access delays to local and remote memories even it sees a unified address space.…”
Section: Introductionmentioning
confidence: 99%
See 1 more Smart Citation
“…There are many previous works [2,12,13,14] on heterogeneous memory systems where DRAM and NVM coexist. Kannan et al particularly allowed to manage DRAM and NVM in a single virtual space and studied how NVM can be used to perform tasks that require persistence attributes.…”
Section: Chapter 6 Related Workmentioning
confidence: 99%
“…However, they did not study how to maximize the bandwidth of different types of memory clusters on the system. The most similar previous work was that of [12]. This work studied the bandwidth-aware memory placement policy that considers the CPU and GPU bandwidths.…”
Section: Chapter 6 Related Workmentioning
confidence: 99%