2007
DOI: 10.1109/tc.2007.250619
|View full text |Cite
|
Sign up to set email alerts
|

OS-Aware Branch Prediction: Improving Microprocessor Control Flow Prediction for Operating Systems

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
5
0

Year Published

2012
2012
2024
2024

Publication Types

Select...
3
1
1

Relationship

0
5

Authors

Journals

citations
Cited by 5 publications
(5 citation statements)
references
References 26 publications
0
5
0
Order By: Relevance
“…The prophet makes prediction based on current branch history and goes on the predicted path, making further predictions which form "branch future" for the original branch. A, Prophet/critic hybrid predictor; B, An example of why critic works Intelligent indexing functions 91 Using multiple indexing functions 50,89 XORing branch address with branch history 84 Converting harmful interference to beneficial or harmless ones 22 Storing only most recent instance of a branch in BP 20 Storing branches with different biases separately 36,83 Separate BPs for user and kernel branches 74 If for a branch B, the prophet makes prediction after observing P extra branches, the number of future bits used by prophet are said to be P, eg, in The critic generates an agree/disagree critique of each prediction of the prophet by correlating with both past and future of a branch.…”
Section: Prophet-critic Hybrid Bpmentioning
confidence: 99%
See 2 more Smart Citations
“…The prophet makes prediction based on current branch history and goes on the predicted path, making further predictions which form "branch future" for the original branch. A, Prophet/critic hybrid predictor; B, An example of why critic works Intelligent indexing functions 91 Using multiple indexing functions 50,89 XORing branch address with branch history 84 Converting harmful interference to beneficial or harmless ones 22 Storing only most recent instance of a branch in BP 20 Storing branches with different biases separately 36,83 Separate BPs for user and kernel branches 74 If for a branch B, the prophet makes prediction after observing P extra branches, the number of future bits used by prophet are said to be P, eg, in The critic generates an agree/disagree critique of each prediction of the prophet by correlating with both past and future of a branch.…”
Section: Prophet-critic Hybrid Bpmentioning
confidence: 99%
“…Due to this, it makes more accurate predictions than the prophet. A, Prophet/critic hybrid predictor; B, An example of why critic works 2,30,36,37,65,76,78,91,95 Intelligent indexing functions 91 Using multiple indexing functions 50,89 XORing branch address with branch history 84 Converting harmful interference to beneficial or harmless ones 22 Storing only most recent instance of a branch in BP 20 Storing branches with different biases separately 36,83 Separate BPs for user and kernel branches 74 If for a branch B, the prophet makes prediction after observing P extra branches, the number of future bits used by prophet are said to be P, eg, in should correctly predict more than 90% of branches, and critic is responsible for predicting only the remaining branches. In both traditional hybrid and overriding BPs, component BPs predict the same branch based on the same information; however, in their BP, two predictions happen at different times.…”
Section: Prophet-critic Hybrid Bpmentioning
confidence: 99%
See 1 more Smart Citation
“…Li et al [71] note that branch aliasing between user/kernel codes increases mispredictions in both their executions as their branches show different biases. Also, many branches in kernel mode are weakly biased which are difficult to predict by most BPs.…”
Section: Reducing Aliasing With Kernel-mode Instructionsmentioning
confidence: 99%
“…There is an additional problem, that the client would have to have some understanding of the code in order to (for example) break code into basic blocks precisely, unless the code is appropriately prepackaged for the client, or the client can use some heuristic instead, like always sending the next N bytes of instructions. A related optimization is branch prediction [38,39], where the server might return extra translated instructions in anticipation of a control flow change.…”
Section: Making Babel Fastermentioning
confidence: 99%