2008 41st IEEE/ACM International Symposium on Microarchitecture 2008
DOI: 10.1109/micro.2008.4771798
|View full text |Cite
|
Sign up to set email alerts
|

Online design bug detection: RTL analysis, flexible mechanisms, and evaluation

Abstract: Higher level of resource integration and the addition of new features in modern multi-processors put a significant pressure on their verification. Although a large amount of resources and time are devoted to the verification phase of modern processors, many design bugs escape the verification process and slip into processors operating in the field. These design bugs often lead to lower quality products, lower customer satisfaction, diminishing brand/company reputation, or even expensive product recalls.This pa… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
37
0

Year Published

2009
2009
2017
2017

Publication Types

Select...
4
3
3

Relationship

0
10

Authors

Journals

citations
Cited by 56 publications
(37 citation statements)
references
References 12 publications
0
37
0
Order By: Relevance
“…The design bugs (concurrent or complex) that could happen in the pipeline are considered. Various processor manufacturers' errata sheets are analysed by Constantinides et al (2008) and Narayanasamy et al (2006). The same is summarised in Table 2.…”
Section: Experimental Evaluationmentioning
confidence: 99%
“…The design bugs (concurrent or complex) that could happen in the pipeline are considered. Various processor manufacturers' errata sheets are analysed by Constantinides et al (2008) and Narayanasamy et al (2006). The same is summarised in Table 2.…”
Section: Experimental Evaluationmentioning
confidence: 99%
“…For example, according to the bug analysis of Intel Pentium 4 processor, which is one of the most representative industrial products, the number of detected bugs before releases is about 5809 [4]. Moreover, by analyzing its errata sheet, even after product release, there is still an average of 1.2 design bugs discovered per month [9]. However, codes of such industrial products are not available for us to conduct detailed evaluation.…”
Section: A Threats To Validitymentioning
confidence: 99%
“…Unless two-state elements are used for each register, which leads to an excessive area overhead, the test environment needs to be restarted after each scan dump [18]. The scan registers with two-state elements are used in [19] for online detection of design bugs.…”
Section: A Hardware Structures For Post-silicon Debuggingmentioning
confidence: 99%