Proceedings of the Conference on Design, Automation and Test in Europe 2000
DOI: 10.1145/343647.343800
|View full text |Cite
|
Sign up to set email alerts
|

On the generation of multiplexer circuits for pass transistor logic

Abstract: Pass Transistor Logic has attracted more and more interest during last years, since it has proved to be an attractive alternative to static CMOS designs with respect to area, performance and power consumption. Existing automatic PTL synthesis tools use a direct mapping of (decomposed) BDDs to pass transistors. Thereby, structural properties of BDDs like the ordering restriction and the fact that the select signals of the multiplexers (corresponding to BDD nodes) directly depend on input variables, are imposed … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
25
0

Year Published

2000
2000
2004
2004

Publication Types

Select...
3
2
2

Relationship

0
7

Authors

Journals

citations
Cited by 21 publications
(25 citation statements)
references
References 15 publications
0
25
0
Order By: Relevance
“…Note that only 1s and 0s are fed into the multiplexers (the analog of the Shannon's expansion shown in Figure 1). This strategy allows the implementation of the synthesized circuits by means of pass transistor logic (Scholl et al 2000). The design metric driving the implementation is the number of components.…”
Section: Problem Statementmentioning
confidence: 99%
“…Note that only 1s and 0s are fed into the multiplexers (the analog of the Shannon's expansion shown in Figure 1). This strategy allows the implementation of the synthesized circuits by means of pass transistor logic (Scholl et al 2000). The design metric driving the implementation is the number of components.…”
Section: Problem Statementmentioning
confidence: 99%
“…a sifting modification targeting the classical criterion for circuit speed, the maximal delay on a critical path, as well as the standard sifting algorithm targeting BDD size to circuits of the LGSynth93 [13] benchmark set. A weaker form of MPL sifting with a simplified cost function has been used in [20]. Since we are interested in a validation of the quality of results yielded by EPL sifting, we implemented a sifting modification targeting the classical MPL criterion.…”
Section: Resultsmentioning
confidence: 99%
“…every gate (which is corresponding to a BDD node) is assigned a uniform delay of one. This model is independent of the technology used and has been applied to the unmapped netlists in the synthesis approach of [20]. Then, the EPL models average gate delay for PTL networks derived from BDDs: EPL accounts for the fact…”
Section: Applying the Expected Path Length In Logic Synthesismentioning
confidence: 99%
See 1 more Smart Citation
“…Second, the longest path in the BDD corresponds to the critical path in a derived circuit. Hence minimization with respect to MPL/EPL is expected to support synthesis approaches targeting the delay of the resulting circuits [13]. The minimization of MPL has been studied in [10], [14].…”
Section: Introductionmentioning
confidence: 99%