2018
DOI: 10.2494/photopolymer.31.201
|View full text |Cite
|
Sign up to set email alerts
|

Novel EUV Resist Materials for 7 nm Node and Beyond

Abstract: For semiconductor device manufacturing, line width roughness (LWR) and defect reduction of resists is one of the most important items to obtain high yield. In this study, we described the development of novel high absorption resists for use in extreme ultra violet (EUV) lithography system and its LWR and nano-bridge reduction capability. Herein decomposition rates of photo acid generator (PAG) and several high EUV absorption compounds were studied to clarify inefficient pass on acid generation mechanism. As a … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4

Citation Types

0
4
0

Year Published

2019
2019
2024
2024

Publication Types

Select...
4
3

Relationship

0
7

Authors

Journals

citations
Cited by 8 publications
(4 citation statements)
references
References 17 publications
0
4
0
Order By: Relevance
“…The chemistry of CAR has been altered such that the mechanism for light-mediated solubility modulation became valid with different light sources including DUV and EUV. The CAR system has been optimized for lithographic techniques using KrF and ArF excimer lasers; however, in EUV lithography, one challenging issue still remains to be addressed: trade-off relationship among resolution, line edge roughness (LER), and sensitivity, the-so-called RLS trade-off. Different chemistries have been proposed to resolve this issue; however, because of the strong compatibility of CARs with the current semiconductor device fabrication process, CAR still remains an important candidate for single-digit nanometer technical nodes with EUV lithography. …”
Section: Introductionmentioning
confidence: 99%
“…The chemistry of CAR has been altered such that the mechanism for light-mediated solubility modulation became valid with different light sources including DUV and EUV. The CAR system has been optimized for lithographic techniques using KrF and ArF excimer lasers; however, in EUV lithography, one challenging issue still remains to be addressed: trade-off relationship among resolution, line edge roughness (LER), and sensitivity, the-so-called RLS trade-off. Different chemistries have been proposed to resolve this issue; however, because of the strong compatibility of CARs with the current semiconductor device fabrication process, CAR still remains an important candidate for single-digit nanometer technical nodes with EUV lithography. …”
Section: Introductionmentioning
confidence: 99%
“…3,4 From a materials perspective, high-resolution EUV photoresists are expected to exhibit high sensitivity, low line width roughness (LWR), and high resolution. 5,6 Chemical amplified resists (CARs), while derived from deep ultraviolet (DUV) chemistry, have evolved to emphasize radioactive over photoactive reactions. A notable mechanism involves the polymer matrix's interaction with EUV light, which leads to the release of low-energy (ΔE = 2-3 eV) secondary electrons.…”
Section: Introductionmentioning
confidence: 99%
“…Another reported source of stochastic defects is the photoresist film material and the related photoresist processes utilized to fabricate patterns from such materials. 13,14,[18][19][20][21][22][23][24] Specifically, stochastic defects have been reported to be impacted by the distribution of photoacid generation (after exposure) and quencher in the coated photoresist film. [25][26][27] The dispersion of acid diffusion length during post-exposure baking 26,28) and photoresist dissolution dynamics during the development process have also been reported to have a significant effect.…”
Section: Introductionmentioning
confidence: 99%