2017 26th International Conference on Parallel Architectures and Compilation Techniques (PACT) 2017
DOI: 10.1109/pact.2017.56
|View full text |Cite
|
Sign up to set email alerts
|

Near-Memory Address Translation

Abstract: Abstract-Memory and logic integration on the same chip is becoming increasingly cost effective, creating the opportunity to offload data-intensive functionality to processing units placed inside memory chips. The introduction of memoryside processing units (MPUs) into conventional systems faces virtual memory as the first big showstopper: without efficient hardware support for address translation MPUs have highly limited applicability. Unfortunately, conventional translation mechanisms fall short of providing … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
24
0

Year Published

2017
2017
2022
2022

Publication Types

Select...
3
3
2

Relationship

1
7

Authors

Journals

citations
Cited by 23 publications
(27 citation statements)
references
References 83 publications
0
24
0
Order By: Relevance
“…Overestimating NDP Potential. Offloading kernels to NDP cores incurs overheads that our analysis does not account for (e.g., maintaining coherence between the host CPU and the NDP cores [63,74], efficiently synchronizing computation across NDP cores [101,140], providing virtual memory support for the NDP system [47,55,308], and dynamic offloading support for NDP-friendly functions [48]). Such overheads can impact the performance benefits NDP can provide when considering the end-to-end application.…”
Section: F Limitations Of Our Methodologymentioning
confidence: 99%
“…Overestimating NDP Potential. Offloading kernels to NDP cores incurs overheads that our analysis does not account for (e.g., maintaining coherence between the host CPU and the NDP cores [63,74], efficiently synchronizing computation across NDP cores [101,140], providing virtual memory support for the NDP system [47,55,308], and dynamic offloading support for NDP-friendly functions [48]). Such overheads can impact the performance benefits NDP can provide when considering the end-to-end application.…”
Section: F Limitations Of Our Methodologymentioning
confidence: 99%
“…Extending the instruction set is a common approach to interface a CPU with PIM architectures [3,131]. [4,44,120]. SIMDRAM is relieved of such challenge as it operates directly on physical addresses.…”
Section: Isa Extensions and Programming Interfacementioning
confidence: 99%
“…In case the required data is not present in DRAM, we rely on the conventional page fault handling mechanism to bring the required pages into DRAM. • Address Translation: Virtual memory and address translation are challenging for many PIM architectures [4,44,120]. SIMDRAM is relieved of such challenge as it operates directly on physical addresses.…”
Section: Handling Page Faults Address Translation Coherence and Inter...mentioning
confidence: 99%
“…Domain-specific Near-data-processing Accelerators: A large number of previous studies have explored domainspecific accelerators using near-data-processing ideas, including approximate computing [76], image and video processing [23], [74], deep learning [5], [67], graph analytics [48], bioinformatics [26], garbage collection [28], address translation [62] and data transformation [6]. These designs usually adopt domain-specific processing logic, customized data paths, and application-tailored software mapping strategies.…”
Section: Related Workmentioning
confidence: 99%