2012
DOI: 10.2494/photopolymer.25.583
|View full text |Cite
|
Sign up to set email alerts
|

Nanoparticle photoresists from HfO2 and ZrO2 for EUV patterning

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
2

Citation Types

0
41
1

Year Published

2013
2013
2024
2024

Publication Types

Select...
8

Relationship

1
7

Authors

Journals

citations
Cited by 57 publications
(42 citation statements)
references
References 3 publications
0
41
1
Order By: Relevance
“…It is also possible to tailor material properties with these nanoparticles, yielding nanocomposites. Amorphous nanoparticles of HfO2 were used for EUV patterning to develop inorganic photoresists (Trikeriotis et al 2012). Hafnia nanoparticles were embedded in a glass matrix to increase the dielectric constant (Molina et al 2012a;Molina et al 2012b).…”
Section: Introductionmentioning
confidence: 99%
“…It is also possible to tailor material properties with these nanoparticles, yielding nanocomposites. Amorphous nanoparticles of HfO2 were used for EUV patterning to develop inorganic photoresists (Trikeriotis et al 2012). Hafnia nanoparticles were embedded in a glass matrix to increase the dielectric constant (Molina et al 2012a;Molina et al 2012b).…”
Section: Introductionmentioning
confidence: 99%
“…LWR and LCDU of state-of-art EUV resists are still far from manufacturing requirements. On the other hand, metal containing resists have attracted a lot of attention in recent years due to superior line space patterning performance [4], high etch resistance [5] and ultrahigh sensitivity [6][7][8]. Metal oxide resists utilize a sol-gel mechanism to form condensed metal oxide network [9], while nanoparticle resists first studied by Cornell Ober group utilize ligand removal or exchange to achieve solubility switch [10].…”
Section: Introductionmentioning
confidence: 99%
“…The trans-dimethyl acrylic acid (DMA) was used to replace methacrylic acid as the carboxyl ligand in the zirconium oxide/ hafnium oxide NPs. 41,42 The resulting NPs demonstrated extremely high EUV sensitivity, and achieved 20 nm patterns at a dose of 2.4 and 1.6 mJ cm −2 for HfO 2 -DMA (hafnium oxide-trans-dimethyl acrylic acid) and ZrO 2 -DMA, respectively (Figures 7b and c).…”
Section: Metal-oxide Np-based Photoresistsmentioning
confidence: 99%
“…In 2012 Trikeriotis and co-workers 41 and Trikeriotis et al 42 reported the first example of the zirconium oxide NPs based resist. Under EUV, 32 nm wide patterns with a line edge roughness of 5.9 nm and 26 nm wide patterns with a line edge roughness of 3.8 nm were obtained.…”
Section: Metal-oxide Np-based Photoresistsmentioning
confidence: 99%