2012
DOI: 10.1143/jjap.51.046503
|View full text |Cite
|
Sign up to set email alerts
|

Nanoimprint Mold for 2.5 Tbit/in.2 Directed Self-Assembly Bit Patterned Media with Phase Servo Pattern

Abstract: We demonstrate the mold fabrication and replication process for the production of 0.8 and 2.5 Tbit/in. 2 directed self-assembly bit patterned media (DSA-BPM). These devices are fabricated with 33 and 17 nm dot pitch patterns using the microphase segregation structure of polystyrenepoly(dimethylsiloxane) as an etching mask template. The self-assembled dot arrays are simultaneously ordered on both the circular tracks for the data area and the arbitrary marks for the servo area by DSA using groove guides. We fabr… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1

Citation Types

0
9
0

Year Published

2012
2012
2022
2022

Publication Types

Select...
7
1

Relationship

0
8

Authors

Journals

citations
Cited by 10 publications
(9 citation statements)
references
References 37 publications
(54 reference statements)
0
9
0
Order By: Relevance
“…Forming arbitrary nonregular patterns, such as servo patterns, is challenging for BCP lithography. When topographic guiding patterns are used, servo patterns can be directly written as trenches by e-beam and then filled with BCP. , When chemical guiding patterns are used, the BCP must be coerced into forming servo patterns. If particularly complex servo patterns are required, BCPs cannot form the necessary patterns, and servo patterns have to be integrated by applying an additional lithographic step without DSA.…”
Section: Resultsmentioning
confidence: 99%
“…Forming arbitrary nonregular patterns, such as servo patterns, is challenging for BCP lithography. When topographic guiding patterns are used, servo patterns can be directly written as trenches by e-beam and then filled with BCP. , When chemical guiding patterns are used, the BCP must be coerced into forming servo patterns. If particularly complex servo patterns are required, BCPs cannot form the necessary patterns, and servo patterns have to be integrated by applying an additional lithographic step without DSA.…”
Section: Resultsmentioning
confidence: 99%
“…Focusing on BPM applications, the directed self-assembly of cylinder-forming PS-b-PMMA implemented on a chemically pre-patterned substrate has been used to fabricate over an arbitrarily large area of vertical and cylindrical pore nanostructures with a density of 1 Tb/in 2 (Yang et al, 2009). In addition, the use of sphere-forming PS-b-PDMS (Yamamoto et al, 2012;Xiao et al, 2014) and cylinder-forming PS-b-PMMA (Yang et al, 2014) has also been reported to manufacture integrated templates with both data and servo fields. In these cases, the pre-pattern guides of the BCP self-assembly were prepared by NIL.…”
Section: Bit Patterned Mediamentioning
confidence: 99%
“…Another group of researchers from Toshiba demonstrated a BPM disk with a ridge-andgroove servo pattern by directly using sphere-forming PS-b-PDMS BCP as an etching mask. [21][22][23] A drawback of using the groove guided DSA process in both the data and the servo regions at the same time is the real-estate loss and the challenge in dot-position control guided by graphoepitaxy in the data area. Using DSA with a two-dimensional (2-D) dot-array prepattern in the data area overcomes this problem.…”
Section: Introductionmentioning
confidence: 99%