Proceedings of the 45th Annual Design Automation Conference 2008
DOI: 10.1145/1391469.1391623
|View full text |Cite
|
Sign up to set email alerts
|

Multiobjective optimization of sleep vector for zigzag power-gated circuits in standard cell elements

Abstract: Zigzag power gating (ZPG) has been proposed to alleviate the drawback of power gating in its long wake-up delay, thereby broadening the application of power gating to suppressing active-as well as standby-leakage. However, complicated power network due to the use of nMOS and pMOS switches in zigzag fashion has limited its application to custom circuits. Heterogeneous use of power rails inevitably incurs overhead of area and wirelength during physical design. Furthermore, the use of sleep vector causes addition… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...

Citation Types

0
0
0

Year Published

2010
2010
2010
2010

Publication Types

Select...
2

Relationship

0
2

Authors

Journals

citations
Cited by 2 publications
references
References 12 publications
(15 reference statements)
0
0
0
Order By: Relevance