2009 European Conference on Circuit Theory and Design 2009
DOI: 10.1109/ecctd.2009.5275073
|View full text |Cite
|
Sign up to set email alerts
|

Multicore thermal management with model predictive control

Abstract: The goal of thermal management is to meet maximum operating temperature constraints, while at the same time tracking timevarying performance requirements. Current approaches avoid thermal violations by forcing abrupt operating points changes (e.g. processor shutdown), which cause sharp performance degradation. In this paper we aim at achieving a smooth thermal control action, that minimizes the variance of performance tracking error. We formulate this problem as a discrete-time optimal control problem, which c… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
71
0

Year Published

2011
2011
2022
2022

Publication Types

Select...
4
2
2

Relationship

2
6

Authors

Journals

citations
Cited by 64 publications
(71 citation statements)
references
References 16 publications
0
71
0
Order By: Relevance
“…Researchers have even tried to implement different policies in conjunction with each other as proposed in [33]. Model predictive and optimal control theory have been recently employed for thermal management to achieve smooth control with minimal performance loss [45,50]. In these papers, authors input the workload requirement for each core and then regulate frequencies to meet these requirements while satisfying the thermal constraints, but they use feedback control methods to obtain the frequency values instead of a heuristic approach.…”
Section: Related Workmentioning
confidence: 99%
“…Researchers have even tried to implement different policies in conjunction with each other as proposed in [33]. Model predictive and optimal control theory have been recently employed for thermal management to achieve smooth control with minimal performance loss [45,50]. In these papers, authors input the workload requirement for each core and then regulate frequencies to meet these requirements while satisfying the thermal constraints, but they use feedback control methods to obtain the frequency values instead of a heuristic approach.…”
Section: Related Workmentioning
confidence: 99%
“…In particular, the thermal policy techniques we consider are the linear quadratic regulator [Kang et al 2011;Coskun et al 2008a;Zanini et al 2009b] (i.e., unconstrained MPC with horizon equal to infinity), the explicit/implicit model predictive control-based approach [Wang et al 2009;Zanini et al 2009a] (i.e., traditional MPC), the approximated explicit model predictive control policy [Zanini et al 2010a] (i.e., approximated MPC), and finally, the convex optimization-based approaches [Hanumaiah and Vrudhula 2012;Zanini et al 2010b] (i.e., joint workload and thermal profile prediction). This last technique is solved with a convex solver; however, it is an MPC as well with a linear objective function.…”
Section: Theoretical Analysis Of Thermal Management Policiesmentioning
confidence: 99%
“…Several families of policies have been proposed in the literature for thermal management in MPSoC designs [Zanini et al 2009b[Zanini et al , 2009a[Zanini et al , 2010a[Zanini et al , 2010b. All these policies have been developed and analyzed independently by developing specific problem formulations.…”
Section: Introductionmentioning
confidence: 99%
“…Being completely linear, Tempo can be easily integrated in either model predictive control based techniques such as in [32] or multiparametric programming framework as we did in this work with no need for non-linear optimization. Our thermal management technique, TempoMP, considers performance, power and temperature characteristics of each core, which makes it applicable to heterogeneous (and homogeneous) MPSoCs.…”
Section: Introductionmentioning
confidence: 99%
“…In [33], a linear quadratic regulator is used to solve the frequency assignment problem for thermal balancing. To achieve a smooth control and to minimize performance loss and thermal fluctuations in an MPSoC, [32] proposes a technique based on model predictive control. In [10], the problem of scheduling a task graph on a homogeneous MPSoC to minimize the hotspots and balance the temperature distribution is formulated as Integer Linear Programming (ILP) and solved offline.…”
Section: Introductionmentioning
confidence: 99%