Proceedings of the 2002 International Symposium on Physical Design 2002
DOI: 10.1145/505388.505423
|View full text |Cite
|
Sign up to set email alerts
|

Min-max placement for large-scale timing optimization

Abstract: At the 250nm technology node, interconnect delays account for over 40% of worst delays [12]. Transition to 130nm and below increases this figure, and hence the relative importance of timing-driven placement for VLSI. Our work introduces a novel minimization of maximal path delay that improves upon previously known algorithms for timing-driven placement. Our placement algorithms have provable properties and are fast in practice. Empirical validation is based on extending a scalable min-cut placer with proven qu… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
5

Citation Types

0
10
0

Year Published

2002
2002
2024
2024

Publication Types

Select...
5
2
2

Relationship

1
8

Authors

Journals

citations
Cited by 46 publications
(10 citation statements)
references
References 24 publications
0
10
0
Order By: Relevance
“…A common problem with them is their high computational complexity due to excessive number of paths. Path based timing driven placement includes [2] [3] [4] [5] [6] [7]. In [8], an accurate LP based differential timing analysis is proposed to improve the slack on critical paths that are identified by a static timer.…”
Section: Introductionmentioning
confidence: 99%
See 1 more Smart Citation
“…A common problem with them is their high computational complexity due to excessive number of paths. Path based timing driven placement includes [2] [3] [4] [5] [6] [7]. In [8], an accurate LP based differential timing analysis is proposed to improve the slack on critical paths that are identified by a static timer.…”
Section: Introductionmentioning
confidence: 99%
“…Since timing is inherently path based, an effective net weighting algorithm should be based on path analysis and consider timing propagation. Furthermore, net-based approaches are often done in an ad-hoc manner and have problems with convergence [17] [7]. For instance, while the delay on critical paths decrease, other paths become critical, and this leads to a convergence problem.…”
Section: Introductionmentioning
confidence: 99%
“…Circuit delay during placement can be optimized by using buffer insertion, logic replication, or retiming techniques [1][2][3][4]. On the other hand, many techniques [5][6][7][8][9][10][11][12] do not alter the circuit netlist. These techniques often give high weights to or specify physical length constraints for the edges that lie on the critical timing paths of the circuit.…”
Section: Introductionmentioning
confidence: 99%
“…o Existing global placement engines convert timing information into net weights or net constraints which are then used in the global placement formulation [3] [4]. Few methods [6] interleave timing analysis and global placement. Kahng et al [6] use a min-max timing optimization approach that moves cells in order to minimize the maximum of all weighted edge delays, where an edge is the combination of a net and its driver cell; edge delay is modeled by Elmore model.…”
Section: Introductionmentioning
confidence: 99%