2013 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS) 2013
DOI: 10.1109/ispass.2013.6557148
|View full text |Cite
|
Sign up to set email alerts
|

McSimA+: A manycore simulator with application-level+ simulation and detailed microarchitecture modeling

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
38
0

Year Published

2015
2015
2019
2019

Publication Types

Select...
6
1

Relationship

1
6

Authors

Journals

citations
Cited by 90 publications
(38 citation statements)
references
References 25 publications
0
38
0
Order By: Relevance
“…GPGPU-Sim (2009) [3] GDDR3, GDDR5 McSimA+ (2013) [2] DDR3 Integrated gem5 (2014) [9] DDR3, * LPDDR3, * WIO * * Not cycle-accurate [9]. The lack of an easy-to-extend DRAM simulator is an impediment to both industrial evaluation and academic research.…”
Section: Typementioning
confidence: 99%
“…GPGPU-Sim (2009) [3] GDDR3, GDDR5 McSimA+ (2013) [2] DDR3 Integrated gem5 (2014) [9] DDR3, * LPDDR3, * WIO * * Not cycle-accurate [9]. The lack of an easy-to-extend DRAM simulator is an impediment to both industrial evaluation and academic research.…”
Section: Typementioning
confidence: 99%
“…McSimA+ is a multicore application-level+ simulator with detailed micro-architecture modeling [4]. While it was developed to offer a level of functionality that lies between an application and a full-system simulator (hence application-level+), its main purpose is to achieve higher simulation speeds in comparison with other common full-system simulators.…”
Section: Simulatorsmentioning
confidence: 99%
“…McSimA+ has been verified to execute diverse benchmark programs with high IPC (instruction per cycle) accuracy in comparison to real server execution [4]. Simulations in the combined simulator, however, will differ in IPC owing to following reasons: Firstly, the virtual-to-physical address translation described in Section 3 might improve the memory performance as different virtual addresses may point to the same physical address.…”
Section: Simulated System Performancementioning
confidence: 99%
See 1 more Smart Citation
“…However, they are only useful in supporting primary workloads. Graphite [4], Sniper [15], ZSim [16], CMP$im [17] and McSimA+ [18] use this method.…”
Section: Taxonomy Of Csa Simulatorsmentioning
confidence: 99%