2016
DOI: 10.1109/lca.2015.2414456
|View full text |Cite
|
Sign up to set email alerts
|

Ramulator: A Fast and Extensible DRAM Simulator

Abstract: Abstract-Recently, both industry and academia have proposed many different roadmaps for the future of DRAM. Consequently, there is a growing need for an extensible DRAM simulator, which can be easily modified to judge the merits of today's DRAM standards as well as those of tomorrow. In this paper, we present Ramulator, a fast and cycle-accurate DRAM simulator that is built from the ground up for extensibility. Unlike existing simulators, Ramulator is based on a generalized template for modeling a DRAM system,… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
228
0

Year Published

2018
2018
2022
2022

Publication Types

Select...
4
4
2

Relationship

3
7

Authors

Journals

citations
Cited by 495 publications
(252 citation statements)
references
References 21 publications
(21 reference statements)
0
228
0
Order By: Relevance
“…This could be achieved with the addition of a new DRAM command, like the targeted refresh command proposed in a patent by Intel [29]. In 3Dstacked memory technologies [130,150], e.g., HBM (High Bandwidth Memory) [109,150] or HMC (Hybrid Memory Cube) [7], which combine logic and memory in a tightly integrated fashion, the logic layer can be easily modified to implement PARA. 5 Alternatively, if the memory interface is 5 Alternatively, for a solution like PARA to be implemented in the DRAM chip, without modifying the hardware interface to the DRAM chip, one can exploit the timing slack in the DRAM timing parameters that already exist under various conditions.…”
Section: Modulementioning
confidence: 99%
“…This could be achieved with the addition of a new DRAM command, like the targeted refresh command proposed in a patent by Intel [29]. In 3Dstacked memory technologies [130,150], e.g., HBM (High Bandwidth Memory) [109,150] or HMC (Hybrid Memory Cube) [7], which combine logic and memory in a tightly integrated fashion, the logic layer can be easily modified to implement PARA. 5 Alternatively, if the memory interface is 5 Alternatively, for a solution like PARA to be implemented in the DRAM chip, without modifying the hardware interface to the DRAM chip, one can exploit the timing slack in the DRAM timing parameters that already exist under various conditions.…”
Section: Modulementioning
confidence: 99%
“…D-RaNGe therefore has a latency many orders of magnitude lower than Sutar et al 's mechanism [141]. We estimate the energy consumption of retention-time based TRNG mechanisms with Ramulator [2,76] and DRAM-Power [1,25]. We model rst writing data to a 4MiB DRAM region (to constrain the energy consumption estimate to the region of interest), waiting for 40 seconds, and then reading from that region.…”
Section: Dram Data Retentionmentioning
confidence: 99%
“…We use Ramulator [28] and DRAMPower [12] Nonblocking Refresh, we model a 36-way 36KB writeback cache per 64-bit channel and four write groups per channel, where each rank is a write group. For the baselines, we model staggered refresh, similar to prior works [8,13], and optimize staggered refresh by applying DARP [13] at the rank level.…”
Section: Memory System Modelingmentioning
confidence: 99%