2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC) 2014
DOI: 10.1109/dac.2014.6881408
|View full text |Cite
|
Sign up to set email alerts
|

MATEX: A distributed framework for transient simulation of power distribution networks

Abstract: We proposed MATEX, a distributed framework for transient simulation of power distribution networks (PDNs). MATEX utilizes matrix exponential kernel with Krylov subspace approximations to solve differential equations of linear circuit. First, the whole simulation task is divided into subtasks based on decompositions of current sources, in order to reduce the computational overheads. Then these subtasks are distributed to different computing nodes and processed in parallel. Within each node, after the matrix fac… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2
1

Citation Types

0
10
0

Year Published

2015
2015
2016
2016

Publication Types

Select...
2
1

Relationship

2
1

Authors

Journals

citations
Cited by 3 publications
(10 citation statements)
references
References 18 publications
0
10
0
Order By: Relevance
“…However, when the values in C vary in magnitudes caused by stiff circuit system, standard Krylov subspace demands large dimension of subspace to approximate MEVP, then degrades performance of matrix exponential-based circuit simulation. Such phenomenon has been observed in power distribution network simulation using matrix exponential framework [18], [19]. Besides, C should not be singular during the process of standard Krylov subspace strategy.…”
Section: B Circuit Simulation Using Exponential Integratorsmentioning
confidence: 84%
See 1 more Smart Citation
“…However, when the values in C vary in magnitudes caused by stiff circuit system, standard Krylov subspace demands large dimension of subspace to approximate MEVP, then degrades performance of matrix exponential-based circuit simulation. Such phenomenon has been observed in power distribution network simulation using matrix exponential framework [18], [19]. Besides, C should not be singular during the process of standard Krylov subspace strategy.…”
Section: B Circuit Simulation Using Exponential Integratorsmentioning
confidence: 84%
“…Beyond those conventional low order schemes, a high order matrix exponential based time integration kernel [3] has been recently triggering academic researchers' interests because of the progress of efficient matrix function computation using Krylov subspace methods [13]- [16]. In VLSI CAD and EDA research, this exponential integration kernel has been applied in time domain electromagnetic and technology semiconductor device simulation [17], power distribution network analysis [18], [19] as well as general circuit simulation [20], [21]. Those frameworks provide analytical solution for transient simulation of linear system, and better properties in numerical accuracy, stability and time step controlling, etc [3], [16], [18], [19].…”
Section: Introductionmentioning
confidence: 99%
“…Nevertheless, our target step size is rather aggressive (∼ µs) compared to those reported in [3,16], and MEXP based on the ordinary Krylov subspace is not efficient for approximating large-magnitude eigenvalues (corresponding to the slow transients) [4]. Therefore, we choose to use the shift-and-invert (SAI) Krylov subspace method, which is essentially an "inverse" version of the standard Krylov subspace methods and can provide a better approximation to slow manifold of the waveform to allow larger step sizes [17,18]. The main step of SAI-Krylov is an m-step Arnoldi process applied to (I − γA) −1…”
Section: Computation Of E Ah V Via Rational Krylov Subspace Methodsmentioning
confidence: 99%
“…For the time step choice, breakpoints (also known as input transition spots (TS) [47]) are the time points where slopes of input vector change. Therefore, for Eq.…”
Section: Matrix Exponential Time Integration Schemementioning
confidence: 99%
“…However, building scalable and efficient distributed algorithmic framework for transient linear circuit simulation is still a challenge to leverage these powerful computing tools. The papers [47], [48] show great potentials by parallelizing matrix exponential based method to achieve the runtime performance improvement and maintain high accuracy.…”
Section: Introductionmentioning
confidence: 99%