2015
DOI: 10.1016/j.mee.2015.04.033
|View full text |Cite
|
Sign up to set email alerts
|

Making lithography work for the 7-nm node and beyond in overlay accuracy, resolution, defect, and cost

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
2

Citation Types

0
14
0

Year Published

2016
2016
2023
2023

Publication Types

Select...
8

Relationship

0
8

Authors

Journals

citations
Cited by 28 publications
(15 citation statements)
references
References 6 publications
0
14
0
Order By: Relevance
“…Of course, the exact COO difference between EUV and 193i based multi-patterning will not be known until EUV is ready for HVM, but a commonly applied rule of thumb in the industry is to assume that anytime an EUV step can eliminate 3 or more 193i mask steps and it becomes cost effective. 15,16 There are additional lithography options that can be considered as well to enable future scaling. Electron beam (e-beam) lithography 16 and nano-imprint lithography (NIL) 17,18 represent two such options.…”
Section: -3mentioning
confidence: 99%
See 1 more Smart Citation
“…Of course, the exact COO difference between EUV and 193i based multi-patterning will not be known until EUV is ready for HVM, but a commonly applied rule of thumb in the industry is to assume that anytime an EUV step can eliminate 3 or more 193i mask steps and it becomes cost effective. 15,16 There are additional lithography options that can be considered as well to enable future scaling. Electron beam (e-beam) lithography 16 and nano-imprint lithography (NIL) 17,18 represent two such options.…”
Section: -3mentioning
confidence: 99%
“…15,16 There are additional lithography options that can be considered as well to enable future scaling. Electron beam (e-beam) lithography 16 and nano-imprint lithography (NIL) 17,18 represent two such options. Further development on these and other processes which could be capable of sub-10 nm resolution lithography is certainly warranted and would provide some relief from the lithography bottleneck.…”
Section: -3mentioning
confidence: 99%
“…As next-generation technology nodes (<7 nm) continue to push the limits of Moore’s Law, , manufacturing requirements are outpacing the capabilities of conventional 193 nm ArF lithography. Advanced techniques such as immersion lithography or self-aligned double and quadruple patterning (SADP/SAQP) can further reduce feature size, but they also increase manufacturing complexity and cost. , Shorter wavelengths of light, such as that used by extreme ultraviolet lithography (EUVL, λ = 13.5 nm), provide an effective method to achieve smaller pattern dimensions without the increase in cost and complexity associated with multi-patterning techniques. While EUVL has already demonstrated sub-20 nm patterns, , new resist materials and processes are needed that simultaneously meet the qualifications for resolution, line-edge roughness (LER), and sensitivity. ,, …”
Section: Introductionmentioning
confidence: 99%
“…Optical lithography has survived multiple hurdles and still dominates the market with patterns less than 7 nm in size. 1,2 As nanofabrication costs are greatly reduced with the aid of remarkable breakthroughs happening in the throughput values, lithography is the costliest step of the overall nanofabrication. 3 Moreover, further shrinkage of the scales is still the commonly accepted roadmap with the aim of staying loyal to Moore's law as major chip production companies announces their new transistor sizes.…”
Section: Introductionmentioning
confidence: 99%