2014
DOI: 10.1117/12.2046140
|View full text |Cite
|
Sign up to set email alerts
|

Layout pattern-driven design rule evaluation

Abstract: Abstract. With the use of subwavelength photolithography, some layouts can have low printability and, accordingly, low yield due to the existence of bad patterns even though they pass design rule checks. A reasonable approach is to select some of the candidate bad patterns as forbidden. These are the ones with a high yield impact or low routability impact, and these are to be prohibited in the design phase. The rest of the candidate bad patterns may be fixed in the postroute stage in a best-effort manner. The … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
6
0

Year Published

2014
2014
2019
2019

Publication Types

Select...
2
2

Relationship

1
3

Authors

Journals

citations
Cited by 4 publications
(6 citation statements)
references
References 22 publications
(20 reference statements)
0
6
0
Order By: Relevance
“…According to Constraint (1), e 1 1,2 , e 1 2,3 = 1, which connects between v1 and v3, since f 1 1,2 , f 1 2,3 are non-zero value. Constraint (1) forces e 2 1,2 , e 2 2,1 , e 1 2,1 to be zero so that the edge between v1 and v2 can be reserved only for n1.…”
Section: General Routing Problem Formulationmentioning
confidence: 99%
See 1 more Smart Citation
“…According to Constraint (1), e 1 1,2 , e 1 2,3 = 1, which connects between v1 and v3, since f 1 1,2 , f 1 2,3 are non-zero value. Constraint (1) forces e 2 1,2 , e 2 2,1 , e 1 2,1 to be zero so that the edge between v1 and v2 can be reserved only for n1.…”
Section: General Routing Problem Formulationmentioning
confidence: 99%
“…Subsequently, [7] extend the DRE approach to chip-level analyses. Badr et al [2] suggest a pattern matching-based design rule evaluation method, which is then applied to checking of routing within standard cells. A fundamental distinction between these previous works and our present work is that we provide a new capability to assess design rule and patterning technology choices with cost-optimal detailed routing.…”
Section: Related Workmentioning
confidence: 99%
“…Commodity Flow Conservation (CFC). Logical Expressions (3,4) represent the constraint of CFC, describing the commodity flow constraint on each vertex at a per-net and per-commodity granularity.…”
Section: Flow Formulation (F)mentioning
confidence: 99%
“…One of the major difficulties in the detailed routing is exposed by the resolution limitations coming from the diffraction limit of optical lithography which has 193nm (i.e., 193i) wavelength [11,12,23]. Although recent multi-patterning techniques [4,18,19] enable to deliver 10nm and sub-10nm technology nodes to foundries, they induce more complex conditional design rules for manufacturability which are new hurdles in the detailed routing procedure [2]. Routability becomes a critical bottleneck in detailed routing due to less number of routing tracks, higher pin density, and smaller pin geometry [23,25].…”
Section: Introductionmentioning
confidence: 99%
“…(Foundries are encouraged to download the framework 31 and try it with their own patterns and rules). However, the applied forbidden patterns impose the restrictions of the patterning scheme that is used.…”
Section: Litho-etch-litho-etch Versus Self-aligned Double Patterningmentioning
confidence: 99%