2005
DOI: 10.1109/tcad.2005.850900
|View full text |Cite
|
Sign up to set email alerts
|

Layout-aware scan chain synthesis for improved path delay fault coverage

Abstract: Path delay fault testing becomes increasingly important due to higher clock rates and higher process variability caused by shrinking geometries. Achieving high-coverage path delay fault testing requires the application of scan justified test vector pairs, coupled with careful ordering of the scan flip-flops and/or insertion of dummy flip-flops in the scan chain. Previous works on scan synthesis for path delay fault testing using scan shifting have focused exclusively on maximizing fault coverage and/or minimiz… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1

Citation Types

0
2
0

Year Published

2008
2008
2020
2020

Publication Types

Select...
3
3

Relationship

0
6

Authors

Journals

citations
Cited by 7 publications
(2 citation statements)
references
References 19 publications
(26 reference statements)
0
2
0
Order By: Relevance
“…In References [4,16,24,27,31,43], logic resynthesis techniques are used for improving the testability of the circuit by reducing the difficulty of test generation. In References [9,41,42], scan chain ordering is considered for improving the coverage for transition and path delay faults. With layout information taken into account, the routing penalty and the impact on circuit performance are limited.…”
Section: Introductionmentioning
confidence: 99%
“…In References [4,16,24,27,31,43], logic resynthesis techniques are used for improving the testability of the circuit by reducing the difficulty of test generation. In References [9,41,42], scan chain ordering is considered for improving the coverage for transition and path delay faults. With layout information taken into account, the routing penalty and the impact on circuit performance are limited.…”
Section: Introductionmentioning
confidence: 99%
“…The scan flip-flops are reordered to minimize the number of undetectable faults due to test pattern dependency. Achieving high coverage path delay fault testing requires the application of scan justified test vector pairs, coupled with careful reordering of scan flip-flops and/or insertion of dummy flip-flops in the scan chain [21]. The authors in [21] proposed a technique considering both the number of dummy flip-flops and wirelength costs to improve path delay fault coverage.…”
Section: B Related Prior Workmentioning
confidence: 99%