2018 IEEE 36th VLSI Test Symposium (VTS) 2018
DOI: 10.1109/vts.2018.8368657
|View full text |Cite
|
Sign up to set email alerts
|

IR drop prediction of ECO-revised circuits using machine learning

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1

Citation Types

0
16
0

Year Published

2020
2020
2023
2023

Publication Types

Select...
4
2
1

Relationship

0
7

Authors

Journals

citations
Cited by 26 publications
(16 citation statements)
references
References 14 publications
0
16
0
Order By: Relevance
“…A number of numerical techniques have been well developed and can perform IR drop analysis well on power grids, such as hierarchical methods, random walk methods, Krylov-subspace methods, multi-grid techniques, and vectorless veri cation methods. To further speed up the IR drop analysis, several machine learning based IR drop estimation/prediction methods have been proposed [14,17,21,28]. Those methods typically aim to replace the standard full-chip IR drop analysis tool such as ANSYS RedHawk, via data-based learning and feature selections.…”
Section: Machine Learning Based Ir Drop Analysis and Estimationmentioning
confidence: 99%
See 2 more Smart Citations
“…A number of numerical techniques have been well developed and can perform IR drop analysis well on power grids, such as hierarchical methods, random walk methods, Krylov-subspace methods, multi-grid techniques, and vectorless veri cation methods. To further speed up the IR drop analysis, several machine learning based IR drop estimation/prediction methods have been proposed [14,17,21,28]. Those methods typically aim to replace the standard full-chip IR drop analysis tool such as ANSYS RedHawk, via data-based learning and feature selections.…”
Section: Machine Learning Based Ir Drop Analysis and Estimationmentioning
confidence: 99%
“…Those methods typically aim to replace the standard full-chip IR drop analysis tool such as ANSYS RedHawk, via data-based learning and feature selections. For instance, Lin et al [21] proposed full-chip dynamic IR drop analysis based on some power and physical features extracted from cells and layouts. Fang et al [14] tried to improve the scalability by training the models for localized region of layout.…”
Section: Machine Learning Based Ir Drop Analysis and Estimationmentioning
confidence: 99%
See 1 more Smart Citation
“…Prior acceleration methods such as [22] trade off accuracy for speed by increasing the coarseness of element discretization. However, the advent of machine learning (ML) has presented fast and accurate solutions to these problems [15,18,19,23,30,37,38,42], trained on simulation data from systems of millions of nodes.…”
mentioning
confidence: 99%
“…For PDN analysis, the works in [15,23] address incremental analysis and are not intended for full-chip estimation.…”
mentioning
confidence: 99%