The platform will undergo maintenance on Sep 14 at about 9:30 AM EST and will be unavailable for approximately 1 hour.
Proceedings of the IEEE 2005 International Interconnect Technology Conference, 2005.
DOI: 10.1109/iitc.2005.1499910
|View full text |Cite
|
Sign up to set email alerts
|

Interface engineering for highly-reliable 65 nm-node Cu/ULK (k=2.6) interconnect integration

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1

Citation Types

0
3
0

Publication Types

Select...
2

Relationship

0
2

Authors

Journals

citations
Cited by 2 publications
(3 citation statements)
references
References 0 publications
0
3
0
Order By: Relevance
“…The SiCO- or SiCN-base films on a copper layer have been widely used in the copper dual damascene process as a copper ion barrier layer [ 9 , 10 ]. Moreover the influences of the etching-stop-layer, silicon carbide (SiC) barrier cap layer, and deposition process on electro migration (EM) and stress migration (SM) have been reported [ 4 , 11 ]. The copper and barrier layer interface is the dominant path for copper migration [ 5 , 11 , 12 ].…”
Section: Methodsmentioning
confidence: 99%
See 1 more Smart Citation
“…The SiCO- or SiCN-base films on a copper layer have been widely used in the copper dual damascene process as a copper ion barrier layer [ 9 , 10 ]. Moreover the influences of the etching-stop-layer, silicon carbide (SiC) barrier cap layer, and deposition process on electro migration (EM) and stress migration (SM) have been reported [ 4 , 11 ]. The copper and barrier layer interface is the dominant path for copper migration [ 5 , 11 , 12 ].…”
Section: Methodsmentioning
confidence: 99%
“…For example, a Cu film is easily oxidized, and Cu atoms or ions easily diffuse into low k interlayer dielectrics by thermal annealing or with electric fields [ 3 ]. Thus, it is desirable to develop new materials with a lower k -value to further reduce the effective dielectric constant of the Cu interconnect system [ 4 , 5 ]. It is also known that Cu is a serious source of contamination for both silicon and silicon dioxide.…”
Section: Introductionmentioning
confidence: 99%
“…In the actual CMP process with Cu patterned wafers, care must be taken regarding the removal of Cu-organic complex residue during the post-CMP cleaning. 6,7) In this section, two types of rinse solutions are compared: DIW and alkaline water with an ORP maintained at about À0:7 V vs NHE.…”
Section: Post-cmp Cleaning On Low-k /Cu Interconnectsmentioning
confidence: 99%