1993
DOI: 10.1007/bf01205181
|View full text |Cite
|
Sign up to set email alerts
|

Instruction-level parallel processing: History, overview, and perspective

Abstract: instruction-level parallelism, VLIW processors, superscalar processors, pipelining, multiple operation issue, speculative execution, scheduling, register allocation Instruction-level Parallelism CILP) is a family of processor and compiler design techniques that speed up execution by causing individual machine operations to execute in parallel. Although ILP has appeared in the highest performance uniprocessors for the past 30 years, the 1980s saw it become a much more significant force in computer design. Sever… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
60
0

Year Published

1998
1998
2014
2014

Publication Types

Select...
5
2
1

Relationship

0
8

Authors

Journals

citations
Cited by 232 publications
(61 citation statements)
references
References 132 publications
(13 reference statements)
0
60
0
Order By: Relevance
“…The operators of the loop executed before the loop body after the transformation (f) form the loop prolog, the operators executed after the body (g) are the loop epilog, and the interval at which iterations are started is the initiation interval (II). The goal of pipelining is to achieve the minimum possible II, which is hardware resource or data dependence constrained [16]. Chapter 4…”
Section: Pipeliningmentioning
confidence: 99%
“…The operators of the loop executed before the loop body after the transformation (f) form the loop prolog, the operators executed after the body (g) are the loop epilog, and the interval at which iterations are started is the initiation interval (II). The goal of pipelining is to achieve the minimum possible II, which is hardware resource or data dependence constrained [16]. Chapter 4…”
Section: Pipeliningmentioning
confidence: 99%
“…The early work on parallel instruction scheduling for processors was carried out in the context of microcode compaction [9][10][11]. The pre-scheduling steps such as the construction of dependence graphs are also examined in [12].…”
Section: Related Workmentioning
confidence: 99%
“…Software pipelining has been found to be an efficient compilation technique that results in significant performance improvement at runtime [9,10,15,16]. Modeling of resource constraints (or structural hazards) in software pipelining is becoming increasingly complex in modern processor architectures.…”
Section: Background and Motivationmentioning
confidence: 99%
“…Conventional approaches to model resource constraints uses a simple reservation table (see Figure 1(a)) [13,10,9,15,16]. The state diagram approach is a systematic and effective way to represent the resource usages and conflicts when multiple operations of a loop are scheduled [6].…”
Section: Introductionmentioning
confidence: 99%
See 1 more Smart Citation