11th International Symposium on High-Performance Computer Architecture
DOI: 10.1109/hpca.2005.17
|View full text |Cite
|
Sign up to set email alerts
|

Improving Multiple-CMP Systems Using Token Coherence

Abstract: Improvements in semiconductor technology now enable Chip Multiprocessors (CMPs). As many future computer systems will use one or more CMPs and support shared memory, such systems will have caches that must be kept coherent.Coherence is a particular challenge for Multiple-CMP (M-CMP) systems. One approach is to use a hierarchical protocol that explicitly separates the intra-CMP coherence protocol from the inter-CMP protocol, but couples them hierarchically to maintain coherence. However, hierarchical protocols … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
72
0

Publication Types

Select...
3
2
2

Relationship

0
7

Authors

Journals

citations
Cited by 80 publications
(71 citation statements)
references
References 38 publications
0
72
0
Order By: Relevance
“…We have implemented our protocol using a full system simulator and we have presented results comparing it to a similar cache coherence protocol previously proposed [10] which does not support any fault tolerance but is tuned for performance in CMPs. We have shown that in the fault free scenario the overhead introduced by our proposal is between 5% and 11% when no backup buffer is used, and that using a backup buffer able to store just one cache line in each L1 cache is enough to reduce it to insignificant levels for 4, 8 and 16 way CMPs.…”
Section: Discussionmentioning
confidence: 99%
See 2 more Smart Citations
“…We have implemented our protocol using a full system simulator and we have presented results comparing it to a similar cache coherence protocol previously proposed [10] which does not support any fault tolerance but is tuned for performance in CMPs. We have shown that in the fault free scenario the overhead introduced by our proposal is between 5% and 11% when no backup buffer is used, and that using a backup buffer able to store just one cache line in each L1 cache is enough to reduce it to insignificant levels for 4, 8 and 16 way CMPs.…”
Section: Discussionmentioning
confidence: 99%
“…We have implemented the proposed fault tolerant coherence protocol using the detailed memory model provided by GEMS simulator (Ruby) to evaluate its overhead compared to the TOKENCMP [10] protocol and to check its effectiveness dealing with message losses. TOKENCMP is a token based coherence protocol without fault tolerance provision but that has been optimized for performance in CMPs.…”
Section: Methodsmentioning
confidence: 99%
See 1 more Smart Citation
“…Several mechanisms for guaranteeing forward progress in token counting protocols have been proposed [7,20,23,24]. Token coherence uses persistent requests [20,23] to ensure forward progress. A processor invokes a persistent request after its transient requests have repeatedly failed to collect sufficient tokens during a timeout interval.…”
Section: Background On Token Countingmentioning
confidence: 99%
“…To avoid indirections for cache-to-cache misses of directory protocol and interconnect ordering of snooping protocol, token protocol [8,9] directly send broadcast on un-ordered interconnect, avoiding indirection for cache-to-cache miss in directory-based protocol. Unfortunately, token protocol broadcasts request to maximal destination set, which will incur heavy network traffic.…”
Section: Introductionmentioning
confidence: 99%