2007
DOI: 10.1016/j.mee.2007.05.038
|View full text |Cite
|
Sign up to set email alerts
|

Impact of line-edge roughness on resistance and capacitance of scaled interconnects

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4
1

Citation Types

0
13
0

Year Published

2010
2010
2018
2018

Publication Types

Select...
4
3
3

Relationship

0
10

Authors

Journals

citations
Cited by 39 publications
(13 citation statements)
references
References 4 publications
0
13
0
Order By: Relevance
“…According to ITRS [4], LER and LWR of sub-10 nm nanostructures are requested to be lower than 0.6 and 0.8 nm, respectively, because higher values could be highly detrimental for the performance of the resulting microelectronics devices [94][95][96][97]. Figure 11(c) reports the LER and LWR literature values of sub-10 nm PDMS parallel cylindrical nanostructures, in self-assembled PS-b-PDMS thin films treated by different annealing methods.…”
Section: Discussionmentioning
confidence: 99%
“…According to ITRS [4], LER and LWR of sub-10 nm nanostructures are requested to be lower than 0.6 and 0.8 nm, respectively, because higher values could be highly detrimental for the performance of the resulting microelectronics devices [94][95][96][97]. Figure 11(c) reports the LER and LWR literature values of sub-10 nm PDMS parallel cylindrical nanostructures, in self-assembled PS-b-PDMS thin films treated by different annealing methods.…”
Section: Discussionmentioning
confidence: 99%
“…The increasing shrinking and miniaturization of device sizes is leading to a non-negligible impact of line-edge roughness (LER) on mm-wave and nanoscale on-chip interconnects [1]. LER is introduced by several sources in the manufacturing process, including photolitographic mask uncertainties and chemical properties of resist [2].…”
Section: Introductionmentioning
confidence: 99%
“…Furthermore, LER values for patterning at 32 or 22 nm nodes is yet to reach the goals set by the ITRS for immersion double patterning or EUV. Given that LER can have a significant effect on device performance, [26] it still remains important to develop polymers and processes that minimize LER and also to gain further understanding of the processes involved.…”
Section: Introductionmentioning
confidence: 99%