Our system is currently under heavy load due to increased usage. We're actively working on upgrades to improve performance. Thank you for your patience.
2006
DOI: 10.1109/tcad.2006.881330
|View full text |Cite
|
Sign up to set email alerts
|

IEEE Standard 1500 Compatible Interconnect Diagnosis for Delay and Crosstalk Faults

Abstract: Abstract-An interconnect diagnosis scheme based on the oscillation ring (OR) test methodology for systems-on-chip (SOC) design with heterogeneous cores is proposed. In addition to traditional stuck-at and open faults, the OR test can also detect and diagnose important interconnect faults such as delay faults and crosstalk glitches. The large number of test rings in the SOC design, however, significantly complicates the interconnect diagnosis problem. In this paper, the diagnosability of an interconnect structu… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
5
0

Year Published

2009
2009
2017
2017

Publication Types

Select...
4
2

Relationship

0
6

Authors

Journals

citations
Cited by 11 publications
(5 citation statements)
references
References 28 publications
0
5
0
Order By: Relevance
“…The authors in Ref. 27 proposed an oscillation ring (OR)based method to detect and diagnose crosstalk faults on SOC interconnects. They modi¯ed IEEE 1500 wrapper cell design to provide extra connections and inversion control in addition to IEEE 1500 standard scan path to construct ORs through the wires and the boundary-scan path in the cores.…”
Section: Related Workmentioning
confidence: 99%
“…The authors in Ref. 27 proposed an oscillation ring (OR)based method to detect and diagnose crosstalk faults on SOC interconnects. They modi¯ed IEEE 1500 wrapper cell design to provide extra connections and inversion control in addition to IEEE 1500 standard scan path to construct ORs through the wires and the boundary-scan path in the cores.…”
Section: Related Workmentioning
confidence: 99%
“…A faulty wire e can be identified if the set of rings that pass e is unique; in other words, no other wires are passed by exactly the same set of rings [11]. The diagnosability check can be carried using a diagnosis table (matrix), as shown in Fig.…”
Section: B Interconnect Oscillation Ring Diagnosis (Iord)mentioning
confidence: 99%
“…Our goal is to find fault-tolerant routing paths for faulty links, and this can be done by using OR-based diagnosis schemes [11] described in Section II as follows. Fig.…”
Section: A Interconnect Modelingmentioning
confidence: 99%
See 2 more Smart Citations