Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture 2011
DOI: 10.1145/2155620.2155637
|View full text |Cite
|
Sign up to set email alerts
|

Idempotent processor architecture

Abstract: Improving architectural energy efficiency is important to address diminishing energy efficiency gains from technology scaling. At the same time, limiting hardware complexity is also important. This paper presents a new processor architecture, the idempotent processor architecture, that advances both of these directions by presenting a new execution paradigm that allows speculative execution without the need for hardware checkpoints to recover from misspeculation, instead using only re-execution to recover. Ide… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
20
0

Year Published

2012
2012
2020
2020

Publication Types

Select...
7

Relationship

2
5

Authors

Journals

citations
Cited by 44 publications
(20 citation statements)
references
References 28 publications
0
20
0
Order By: Relevance
“…For exception recovery, Hampton and Asanović explore the use of idempotent regions for exception recovery in vector processors [16], De Kruijf and Sankaralingam use them for exception recovery in general purpose processors [10], and Mahlke et al propose restartable (idempotent) instruction sequences under sentinel scheduling for exception recovery in VLIW processors [23]. For fault recovery, Feng et al and De Kruijf et al both explore mechanisms to opportunistically employ idempotence over code regions that together cover large parts-but not all parts-of a program.…”
Section: Related Workmentioning
confidence: 99%
See 1 more Smart Citation
“…For exception recovery, Hampton and Asanović explore the use of idempotent regions for exception recovery in vector processors [16], De Kruijf and Sankaralingam use them for exception recovery in general purpose processors [10], and Mahlke et al propose restartable (idempotent) instruction sequences under sentinel scheduling for exception recovery in VLIW processors [23]. For fault recovery, Feng et al and De Kruijf et al both explore mechanisms to opportunistically employ idempotence over code regions that together cover large parts-but not all parts-of a program.…”
Section: Related Workmentioning
confidence: 99%
“…Table 1 classifies prior work in terms of its application domain and the level at which idempotence is used and identified [2,9,10,12,16,19,21,23,25,31,36]. One of the earliest uses is by Mahlke et al in using restartable instruction sequences for exception recovery in speculative processors [23].…”
Section: Introductionmentioning
confidence: 99%
“…Again, others have made this observation as well [9,18,22]. However, they largely ignore live-state minimization and/or do not provide general exception and speculation support.…”
Section: Paper Overviewmentioning
confidence: 92%
“…First, as shown in Figure 1(a), we observe that at each point in a program's execution there are differing amounts of live state. We build upon the observation previously made that programs fully decompose into idempotent (re-executable) code sequences [9], and partition GPU kernels into idempotent "regions" as shown in Figure 1(b), with the key property that the boundaries between regions fall at locations that contain relatively little amounts of live state. By their very nature, GPU application programs tend to have large regions of code that are idempotent and hence these regions can be very large (see Section 5).…”
Section: Paper Overviewmentioning
confidence: 99%
See 1 more Smart Citation