2013
DOI: 10.1016/j.tsf.2013.07.039
|View full text |Cite
|
Sign up to set email alerts
|

Hydrogen-induced blistering of Mo/Si multilayers: Uptake and distribution

Abstract: We report on the uptake of deuterium by thin-film Mo/Si multilayer samples as a result of exposure to fluxes of predominantly thermal atomic and molecular species, but also containing a small fraction of energetic (800-1000 eV) ions. These exposures result in blister formation characterized by layer detachment occurring exclusively in the vicinity of the Mo-on-Si interfaces.This localization is attributed to strained centres introduced within the interfacial region during silicide formation and subsequent Mo c… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

3
10
0

Year Published

2013
2013
2023
2023

Publication Types

Select...
6

Relationship

2
4

Authors

Journals

citations
Cited by 15 publications
(13 citation statements)
references
References 61 publications
3
10
0
Order By: Relevance
“…The Mo/Si ML samples investigated in this work are similar to those studied previously [23][24][25][26] . They were deposited on a super-polished Si wafer by magnetron sputtering with additional ion polishing of the deposited Si layers.…”
Section: Methodssupporting
confidence: 64%
See 1 more Smart Citation
“…The Mo/Si ML samples investigated in this work are similar to those studied previously [23][24][25][26] . They were deposited on a super-polished Si wafer by magnetron sputtering with additional ion polishing of the deposited Si layers.…”
Section: Methodssupporting
confidence: 64%
“…These samples are composed of alternating layers of nanometer thick amorphous Si (a-Si) and polycrystalline Mo with mixed Mo-Si interfacial regions 22 . They are susceptible to two distinct hydrogen-induced blistering processes [23][24][25][26] , which are attributed to the formation of H2-filled blisters and to the formation and clustering of hydrogen-vacancy complexes producing void blister 24 . The former occurs under the influence of thermal H-atom irradiation; the latter process is observed when energetic (100's of eV) ions are present in the irradiating flux.…”
Section: Introductionmentioning
confidence: 99%
“…The current work expands on earlier reports [26,54,55] by focusing on the specific roles that energetic ions play in inducing and modifying blistering of Mo/Si MLs. These ions are an incidental consequence of the mode of operation of the particle source and have energies in the 800-1000 eV range.…”
Section: Incorporation Of Additional Atoms Resulted In H 2 Formationmentioning
confidence: 69%
“…The ability of defects introduced in materials by ion irradiation to accumulate hydrogen is well-established. However, while induced defects and implantation will occur across the entire region probed by the penetrating ions, blister formation remains localized in the vicinity of the Mo-on-Si interfaces [26,54]. Thus local structural properties are the determining factor in blister manifestation, with the Mo-on-Si interface clearly providing the preferred nucleation sites.…”
Section: The Appearance Of the "Ion-induced" Distributionmentioning
confidence: 99%
“…Similar results were reported also in Refs. [132,133]. However, these effects seem to be dependent on the material used for the capping-layer: in this experiment, all the Ir-capped ML showed a smaller reflectance loss and a shorter peak shift than the structures with other capping-layers.…”
Section: Stability To Accelerated Ions Implantationmentioning
confidence: 62%