2009
DOI: 10.1049/iet-cdt.2008.0148
|View full text |Cite
|
Sign up to set email alerts
|

Hybrid NEMS–CMOS integrated circuits: a novel strategy for energy-efficient designs

Abstract: Substantial increase in gate and sub-threshold leakage of complementary metal-oxide-semiconductor (CMOS) devices is making it extremely challenging to achieve energy-efficient designs while continuing their scaling at the same pace as in the past few decades. Designers constantly sacrifice higher levels of performance to limit the ever-increasing leakage power consumption. One possible solution to tackle the leakage issue, which is proposed in this work, is to integrate nano-electro-mechanical switches (NEMS) … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
35
0
1

Year Published

2010
2010
2018
2018

Publication Types

Select...
6
3

Relationship

0
9

Authors

Journals

citations
Cited by 54 publications
(36 citation statements)
references
References 33 publications
0
35
0
1
Order By: Relevance
“…For the power dissipation, it is important to emphasize that DG-MOSFETs, like other multi-gate MOSFETs, are realistic candidates only for sub-22 nm technology nodes, where the V DD B 0.8 V and the transistor on-current is already saturated due to transistor scaling limitations such as series parasitics, velocity saturation, etc. The biggest power concern in these nano-scale transistors switching at several GHz frequency is not the on-current pathways but the static and dynamic off-current paths due to interconnects, gate and junction leakage [14,15]. In such drastically scaled systems, transistor count becomes a primary concern in minimizing the power leakage, a point also implied by the simulation data in Table 1.…”
Section: Discussionmentioning
confidence: 97%
“…For the power dissipation, it is important to emphasize that DG-MOSFETs, like other multi-gate MOSFETs, are realistic candidates only for sub-22 nm technology nodes, where the V DD B 0.8 V and the transistor on-current is already saturated due to transistor scaling limitations such as series parasitics, velocity saturation, etc. The biggest power concern in these nano-scale transistors switching at several GHz frequency is not the on-current pathways but the static and dynamic off-current paths due to interconnects, gate and junction leakage [14,15]. In such drastically scaled systems, transistor count becomes a primary concern in minimizing the power leakage, a point also implied by the simulation data in Table 1.…”
Section: Discussionmentioning
confidence: 97%
“…Dalam pada itu, kebocoran sub-ambang iaitu kebocoran arus elektrik dalam keadaan mod-tertutup akan meningkat dengan ketara bagi peranti transistor CMOS konvensional apabila saiz perantinya dikecilkan (Dadgour & Banerjee 2009). Berbeza dengan situasi suis 2-T NEM, apabila kebocoran sub-ambang pada peranti dalam keadaan suis terbuka dapat dikurangkan melalui terowong vakum dan arus anjakan gerakan Brownian melalui ruang fizikal antara terminal punca dan saliran.…”
Section: Prinsip Asas Operasi Suis Nem Dan Penggunaan Kuasanyaunclassified
“…Simulation-based studies project NEM relay technology to be able to achieve more than a one order of magnitude improvement in energy efficiency as compared with CMOS technology, for an equivalent area and a mechanical switching delay of 10 ns [17,50]. Hybrid CMOS/NEM technology can potentially achieve the advantages of high-speed CMOS operation together with low-power NEM relay operation [67]. For example, a hybrid NEMS/CMOS static random-access memory (SRAM) cell design for lower static power dissipation and improved cell stability is proposed in [48], and projected to provide a reduction in energy loss of 85%, improvement in write and read times by 60% and 10%, respectively, and an improved static noise margin.…”
Section: Relay-based Logic Circuit Designmentioning
confidence: 99%