2023 Design, Automation &Amp; Test in Europe Conference &Amp; Exhibition (DATE) 2023
DOI: 10.23919/date56975.2023.10137252
|View full text |Cite
|
Sign up to set email alerts
|

HULK-V: a Heterogeneous Ultra-low-power Linux capable RISC-V SoC

Abstract: IoT applications span a wide range in performance and memory footprint, under tight cost and power constraints. High-end applications rely on power-hungry Systems-on-Chip (SoCs) featuring powerful processors, large LPDDR/DDR3/4/5 memories, and supporting full-fledged Operating Systems (OS). On the contrary, low-end applications typically rely on Ultra-Low-Power µcontrollers with a "close to metal" software environment and simple micro-kernel-based runtimes. Emerging applications and trends of IoT require the "… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1

Citation Types

0
1
0

Year Published

2023
2023
2024
2024

Publication Types

Select...
3
1
1

Relationship

0
5

Authors

Journals

citations
Cited by 6 publications
(1 citation statement)
references
References 11 publications
0
1
0
Order By: Relevance
“…At 0.625V, delivering 1.1Gbps, the power consumption is 0.8mW, with 75% of the IOs. More details about the HyperRAM controller's performance and power characterization, as well as comparisons with traditional DDR controllers, can be found in [53].…”
Section: Implementation and Measurementsmentioning
confidence: 99%
“…At 0.625V, delivering 1.1Gbps, the power consumption is 0.8mW, with 75% of the IOs. More details about the HyperRAM controller's performance and power characterization, as well as comparisons with traditional DDR controllers, can be found in [53].…”
Section: Implementation and Measurementsmentioning
confidence: 99%