2011 IEEE 19th Annual International Symposium on Field-Programmable Custom Computing Machines 2011
DOI: 10.1109/fccm.2011.17
|View full text |Cite
|
Sign up to set email alerts
|

HMFlow: Accelerating FPGA Compilation with Hard Macros for Rapid Prototyping

Abstract: The FPGA compilation process (synthesis, map, place, and route) is a time consuming task that severely limits designer productivity. Compilation time can be reduced by saving implementation data in the form of hard macros. Hard macros consist of previously synthesized, placed and routed circuits that enable rapid design assembly because of the native FPGA circuitry (primitives and nets) which they encapsulate.This work presents results from creating a new FPGA design flow based on hard macros called HMFlow. HM… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
33
0

Year Published

2012
2012
2019
2019

Publication Types

Select...
5
2
2

Relationship

1
8

Authors

Journals

citations
Cited by 83 publications
(33 citation statements)
references
References 18 publications
0
33
0
Order By: Relevance
“…Our analysis relied heavily upon the open source tool RapidSmith [19] developed at Brigham Young University. RapidSmith is a Java API that is able to both parse Xilinx design files and interface with part databases.…”
Section: Analysis Of Resultsmentioning
confidence: 99%
“…Our analysis relied heavily upon the open source tool RapidSmith [19] developed at Brigham Young University. RapidSmith is a Java API that is able to both parse Xilinx design files and interface with part databases.…”
Section: Analysis Of Resultsmentioning
confidence: 99%
“…While some of the related research [12] relocates post-place netlists, others [13][14] [15] relocate post-route netlists, which are also known as hard macros in a Xilinx context. For example, in HMFlow [13], a simulated annealing macro placer is developed and swaps hard macros using module relocation to achieve better placement results.…”
Section: Motivationmentioning
confidence: 99%
“…While some of the related research [12] relocates post-place netlists, others [13][14] [15] relocate post-route netlists, which are also known as hard macros in a Xilinx context. For example, in HMFlow [13], a simulated annealing macro placer is developed and swaps hard macros using module relocation to achieve better placement results. Although [25] obtained significant speedups in the place-and-route process, the compilation flow cannot guarantee the speedups when logic utilization is above 50% and the resulted clock rates can only achieve 75% of the clock rates resulted from Xilinx tools.…”
Section: Motivationmentioning
confidence: 99%
“…The repair tool is constructed on RapidSmith [34,35], which is a set of Java-based application programming interface (API) s, offering access to the low-level resources of the FPGA. This way, RapidSmith provides an easy way of building up specific purpose computer-aided design tools for Xilinx FPGAs.…”
Section: Fig 9 Routing Repaired Bcdl Simplified Aes In Which T/f S-bmentioning
confidence: 99%