2013 23rd International Conference on Field Programmable Logic and Applications 2013
DOI: 10.1109/fpl.2013.6645541
|View full text |Cite
|
Sign up to set email alerts
|

High-level synthesis with behavioral level multi-cycle path analysis

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
11
0

Year Published

2014
2014
2018
2018

Publication Types

Select...
3
3
1

Relationship

3
4

Authors

Journals

citations
Cited by 16 publications
(11 citation statements)
references
References 23 publications
0
11
0
Order By: Relevance
“…HW Implementation: Workload analysis together with modeling determines a chosen set of CPU customizations; however, during implementation, it is critical that automation can assist in implementing the low level details so that area, performance, and power estimates can be achieved or improved on. High-level synthesis [32,33] and automated IP-and system-integration [34] can fill an important role in performing detailed implementation. Many integration details are complex yet tedious and error prone.…”
Section: Modelingmentioning
confidence: 99%
See 1 more Smart Citation
“…HW Implementation: Workload analysis together with modeling determines a chosen set of CPU customizations; however, during implementation, it is critical that automation can assist in implementing the low level details so that area, performance, and power estimates can be achieved or improved on. High-level synthesis [32,33] and automated IP-and system-integration [34] can fill an important role in performing detailed implementation. Many integration details are complex yet tedious and error prone.…”
Section: Modelingmentioning
confidence: 99%
“…These options improve performance and power consumption, but IoT applications must balance power, performance, size, and cost through the use of embedded GPUs [6,7,8,9,10] and FPGA-based SoCs [11,12], which can achieve even better energy efficiency [13,14,15]. Recent advances in high-level synthesis [16,17,18,19,20,21] have improved the ease of FPGA programming, which simplifies use of FPGAs in IoT applications.…”
Section: Introductionmentioning
confidence: 99%
“…As we will show in Section VII, the ability to detect all multicycle paths alone already helps logic synthesis to improve the hardware quality. In addition, we also present two optimizations based on BL-MCPA, including schedule-independent chaining (Section V) and MUX pipelining (Section VI), which are not presented in our prior conference publication [24].…”
Section: Related Workmentioning
confidence: 99%
“…Automatically identifying multi-cycle paths are at RTL are well studied in [6], [7], [8], [10]. MCP is even studied recently in C based high-level synthesis as well in [9]. However, MCP is not supported yet in any model based high-level synthesis tool.…”
Section: Introductionmentioning
confidence: 96%