2017
DOI: 10.1117/12.2281922
|View full text |Cite
|
Sign up to set email alerts
|

GPU-accelerated inline linearity correction: pixel-level dose correction (PLDC) for the MBM-1000

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
8
0

Year Published

2018
2018
2024
2024

Publication Types

Select...
5
1

Relationship

3
3

Authors

Journals

citations
Cited by 7 publications
(8 citation statements)
references
References 0 publications
0
8
0
Order By: Relevance
“…Thanks to its dose control by a fine pixel area smaller than the target pattern size, our MB mask writing systems can carry out PLDC within a reasonable write time. [18][19][20]43) In SVSB, the same fine area control results in impractically long write time due to massive shot counts. The PLDC has various SG0803-4 © 2023 The Japan Society of Applied Physics functions such as enhancing the lithographic process margin, correcting CD linearity, and improving pattern fidelity.…”
Section: Data-path and Correction Functionmentioning
confidence: 99%
“…Thanks to its dose control by a fine pixel area smaller than the target pattern size, our MB mask writing systems can carry out PLDC within a reasonable write time. [18][19][20]43) In SVSB, the same fine area control results in impractically long write time due to massive shot counts. The PLDC has various SG0803-4 © 2023 The Japan Society of Applied Physics functions such as enhancing the lithographic process margin, correcting CD linearity, and improving pattern fidelity.…”
Section: Data-path and Correction Functionmentioning
confidence: 99%
“…PLDC does not increase the mask write time, significantly shortens the overall mask turnaround time by eliminating off-line MPC, and produces superior mask quality especially for curvilinear masks. [150][151][152]…”
Section: Pixel-based Inline Dose Correction On Multibeam Mask Writers Will Be the Ultimate Mpc For Curvilinear Ilt Maskmentioning
confidence: 99%
“…The multibeam mask (MBM) writers have enabled curvilinear mask writing. 11,12 With the wafer process windows known to improve substantially with free-form curvilinear inverse lithography technology (ILT), 2 representing and computing with curvilinear shapes in MDP has gained in importance. 13 File sizes and computational complexity have become an increasing concern for the mask industry in the curvilinear era, prompting the industry to develop a Semiconductor Equipment and Materials International (SEMI) standard.…”
Section: Introduction: Curvilinear Masks Representation Overviewmentioning
confidence: 99%
“…Mask data preparation (MDP) is a very crucial step in the mask making industry. The multibeam mask (MBM) writers have enabled curvilinear mask writing 11 , 12 . With the wafer process windows known to improve substantially with free-form curvilinear inverse lithography technology (ILT), 2 representing and computing with curvilinear shapes in MDP has gained in importance 13 .…”
Section: Introduction: Curvilinear Masks Representation Overviewmentioning
confidence: 99%