2008
DOI: 10.1063/1.3018145
|View full text |Cite
|
Sign up to set email alerts
|

Glancing angle sputter deposited nanostructures on rotating substrates: Experiments and simulations

Abstract: Ordered arrays of Si nanorods and nanospirals have been produced by ion beam sputter glancing angle deposition of Si on rotating substrates. The substrates were prepatterned with honeycomb and hexagonal-closed-packed arranged Au dots obtained by nanosphere lithography. The effects of template type, substrate rotational speed, height of the artificial Au seeds, and deposition angle of the incident flux on the growth of the Si nanostructures is examined. Especially for the deposition of Si on honeycomb templates… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
35
0

Year Published

2010
2010
2016
2016

Publication Types

Select...
6
2

Relationship

0
8

Authors

Journals

citations
Cited by 61 publications
(35 citation statements)
references
References 30 publications
(59 reference statements)
0
35
0
Order By: Relevance
“…[1][2][3][4][5][6] Even though e-beam or resistively heated evaporation sources are commonly used for this purpose due to their high directionality, sputtering has also been used to obtain nanostructured systems by GLAD. [7][8][9][10][11][12][13][14] In this case, the sputtering gas pressure, P g , is obviously a crucial parameter in the formation of the columnar structures, trying to be minimized in order to reduce the number of collisions of the sputtered atoms in the gas phase and therefore to increase the directionality of the sputtered material toward the substrate. P g determines the mean free path, , of the vapor flux that leaves the target in their way to the substrate.…”
Section: Instituto De Ciencia De Materiales De Sevilla (Csic-mentioning
confidence: 99%
“…[1][2][3][4][5][6] Even though e-beam or resistively heated evaporation sources are commonly used for this purpose due to their high directionality, sputtering has also been used to obtain nanostructured systems by GLAD. [7][8][9][10][11][12][13][14] In this case, the sputtering gas pressure, P g , is obviously a crucial parameter in the formation of the columnar structures, trying to be minimized in order to reduce the number of collisions of the sputtered atoms in the gas phase and therefore to increase the directionality of the sputtered material toward the substrate. P g determines the mean free path, , of the vapor flux that leaves the target in their way to the substrate.…”
Section: Instituto De Ciencia De Materiales De Sevilla (Csic-mentioning
confidence: 99%
“…Numerical models have provided further insight into the growing mechanisms leading to the formation of columnar structures, describing the relation between  and , as well as between  and d [30][31][32]. In ref.…”
Section: Introductionmentioning
confidence: 99%
“…In that reference, the directionality of the deposition flux together with the surface shadowing mechanism was found responsible for the formation and growth of columns. Moreover, to account for the importance of the substrate temperature and the diffusion rates, surface relaxation was introduced via thermal activation in a hopping mechanism with an Arrenius-type temperature dependence [30][31][32][34][35]. The influence of the film temperature, T, on the film growth was explained by the so-called Structure Zone Model (SZM) [36].…”
Section: Introductionmentioning
confidence: 99%
“…for growth evolution of thick film). Various versions of on-lattice simulation of OAD has been developed and used by different research groups 9,[12][13][14][15][16][17][18][19][20][21][22] .…”
Section: Introductionmentioning
confidence: 99%