2018
DOI: 10.1109/tcomm.2018.2841406
|View full text |Cite
|
Sign up to set email alerts
|

Generalized Water-filling for Source-aware Energy-efficient SRAMs

Abstract: Conventional low-power static random access memories (SRAMs) reduce read energy by decreasing the bit-line voltage swings uniformly across the bit-line columns. This is because the read energy is proportional to the bit-line swings. On the other hand, bit-line swings are limited by the need to avoid decision errors especially in the most significant bits. We propose a principled approach to determine optimal non-uniform bit-line swings by formulating convex optimization problems. For a given constraint on mean… Show more

Help me understand this report
View preprint versions

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1

Citation Types

0
25
0

Year Published

2018
2018
2021
2021

Publication Types

Select...
5
1

Relationship

1
5

Authors

Journals

citations
Cited by 14 publications
(25 citation statements)
references
References 42 publications
0
25
0
Order By: Relevance
“…(b) Under CRB, the minimum estimation error in a channel matrix is directly proportional to the number of unconstrained real parameters required for description. In fact, obviously, from Equations (14) and (36), one can find that the proposed OP algorithm can achieve about 2N/M gain over the estimating H method in terms of minimum estimation error for the same orthogonal training pilots. The estimation gain significantly increases as the number of receive antennas increases.…”
Section: Ce Error Forĥmentioning
confidence: 99%
See 1 more Smart Citation
“…(b) Under CRB, the minimum estimation error in a channel matrix is directly proportional to the number of unconstrained real parameters required for description. In fact, obviously, from Equations (14) and (36), one can find that the proposed OP algorithm can achieve about 2N/M gain over the estimating H method in terms of minimum estimation error for the same orthogonal training pilots. The estimation gain significantly increases as the number of receive antennas increases.…”
Section: Ce Error Forĥmentioning
confidence: 99%
“…Classically, the WF algorithm is popularized to provide a high gain of CC via power allocation in the reconstructed and simplified architecture. In WF, more power is allocated to the sub-channel with higher λ i to maximize the CC of all the sub-channels [14].…”
Section: Wf With Csi Is Known Perfectlymentioning
confidence: 99%
“…For example, errors in the MSB position of image pixels degrade overall image quality much more than errors in the LSB position. Likely, an MSB error can cause a catastrophic loss in the inference accuracy of ML applications [15]. Hence, we use the MSE as a fidelity metric instead of the BER.…”
Section: B Fidelity Metrics: Ber and Msementioning
confidence: 99%
“…These works all rely on the statistical model of [10], which relates the level of noise in the memory cells to the energy consumption of the memory. This statistical model was also used in [21] to optimize the energy consumption of an unreliable memory while satisfying a performance criterion on the Mean-Squared Error (MSE) on a word stored in the memory.…”
mentioning
confidence: 99%
“…Using the model from [10] and the MSE calculation from [21], we aim to relate the energy consumption of the memory with the performance of the Kalman filter, measured here by the variance of its estimation error. After describing the Kalman filter implemented from an unreliable memory (Section 2), we derive updated recursive equations for the Kalman filter, so as to take into account the memory noise.…”
mentioning
confidence: 99%