1997
DOI: 10.1109/92.645073
|View full text |Cite
|
Sign up to set email alerts
|

Gate sizing for constrained delay/power/area optimization

Abstract: Abstract-Gate sizing has a significant impact on the delay, power dissipation, and area of the final circuit. It consists of choosing for each node of a mapped circuit a gate implementation in the library so that a cost function is optimized under some constraints. For instance, one wants to minimize the power consumption and/or the area of a circuit under some user-defined delay constraints, or to obtain the fastest circuit within a given power budget. Although this technology-dependent optimization has been … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
85
0
2

Year Published

1999
1999
2017
2017

Publication Types

Select...
5
2
2

Relationship

0
9

Authors

Journals

citations
Cited by 122 publications
(90 citation statements)
references
References 24 publications
0
85
0
2
Order By: Relevance
“…A drawback of this approach, however, is that a potentially new critical path may emerge. This remains to be a major challenge for existing gate sizing techniques that attempt to maintain delay accuracy during optimization [9], [17], [18]. To address this issue, the frequency of delay updates can be increased by adjusting M and γ to be larger values, as we have done.…”
Section: Switching Activity Extraction Input Vector Controlmentioning
confidence: 99%
See 1 more Smart Citation
“…A drawback of this approach, however, is that a potentially new critical path may emerge. This remains to be a major challenge for existing gate sizing techniques that attempt to maintain delay accuracy during optimization [9], [17], [18]. To address this issue, the frequency of delay updates can be increased by adjusting M and γ to be larger values, as we have done.…”
Section: Switching Activity Extraction Input Vector Controlmentioning
confidence: 99%
“…Several approaches exist that address continuous and discrete gate sizing. Common methods to solve the gate sizing problem have been convex optimization [4], Lagrangian Relaxation [2,3], [17], and gradient and sensitivity-based optimization [9], [18].…”
Section: Related Workmentioning
confidence: 99%
“…It consists of substituting the big cells that are in the non-timing critical path by smaller gates that satisfy the delay requirement with identical logical function. Such a technique is widely used in the industry for timing, area [9,10], and power optimization [7,10].…”
Section: The Basic Concept Of Power Calculation and Optimizationmentioning
confidence: 99%
“…A drawback of this approach, however, is that a potentially new critical path may emerge. This remains to be a major challenge for existing gate sizing techniques that attempt to maintain delay accuracy during optimization [8][18] [19].…”
Section: Technical Approachmentioning
confidence: 99%