Proceedings of the 2019 on Great Lakes Symposium on VLSI 2019
DOI: 10.1145/3299874.3317992
|View full text |Cite
|
Sign up to set email alerts
|

Functional Obfuscation of Hardware Accelerators through Selective Partial Design Extraction onto an Embedded FPGA

Abstract: The protection of Intellectual Property (IP) has emerged as one of the most serious areas of concern in the semiconductor industry. To address this issue, we present a method and architecture to map selective portions of a design, given as a behavioral description for High-Level Synthesis (HLS) to a high-security embedded Field-Programmable Gate Array (eFPGA). In this manner, only the end-user has access to the full functionality of the chip. Using six benchmark circuits, we show that our approach is effective… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
16
0

Year Published

2021
2021
2024
2024

Publication Types

Select...
3
2
1

Relationship

0
6

Authors

Journals

citations
Cited by 22 publications
(16 citation statements)
references
References 13 publications
(14 reference statements)
0
16
0
Order By: Relevance
“…This ensures that a designer should have an idea of how much resources in terms of logic and I/Os are available in a fabric. This will lead to a better resource utilization in the fabric when one redacts a module, especially if one adopts a High Level Synthesis (HLS)-based "top-down" approach [6], [7]. There can be two cases which limits the choice of a fabric: (1) Logic: This constitute the number of CLBs required to map a design; (2) I/Os: The number of inputs and outputs of a modules.…”
Section: Discussionmentioning
confidence: 99%
See 4 more Smart Citations
“…This ensures that a designer should have an idea of how much resources in terms of logic and I/Os are available in a fabric. This will lead to a better resource utilization in the fabric when one redacts a module, especially if one adopts a High Level Synthesis (HLS)-based "top-down" approach [6], [7]. There can be two cases which limits the choice of a fabric: (1) Logic: This constitute the number of CLBs required to map a design; (2) I/Os: The number of inputs and outputs of a modules.…”
Section: Discussionmentioning
confidence: 99%
“…On one hand, structural attacks are difficult to be applied because the eFPGA is regular and generic, able to implement an arbitrary functionality. On the other hand, the size of the configuration bitstream grows exponentially with the complexity of the eFPGA architecture, significantly enlarging the key space and so thwarting SAT-based attacks [5], [7], [14].…”
Section: B Efpga-based Redactionmentioning
confidence: 99%
See 3 more Smart Citations