2021
DOI: 10.48550/arxiv.2111.04222
|View full text |Cite
Preprint
|
Sign up to set email alerts
|

Not All Fabrics Are Created Equal: Exploring eFPGA Parameters For IP Redaction

Abstract: Semiconductor design houses rely on third-party foundries to manufacture their integrated circuits (IC). While this trend allows them to tackle fabrication costs, it introduces security concerns as external (and potentially malicious) parties can access critical parts of the designs and steal or modify the Intellectual Property (IP). Embedded FPGA (eFPGA) redaction is a promising technique to protect critical IPs of an ASIC by redacting (i.e., removing) critical parts and mapping them onto a custom reconfigura… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

1
9
0

Year Published

2022
2022
2022
2022

Publication Types

Select...
3

Relationship

1
2

Authors

Journals

citations
Cited by 3 publications
(10 citation statements)
references
References 23 publications
(50 reference statements)
1
9
0
Order By: Relevance
“…This is the typical threat model for recent eFPGA redaction works [4,10]. In this scenario, the eFPGA security comes more from the fabric parameters and way the designer uses the fabrics rather than the specific redacted modules themselves [3,4]. We also assume the designers will use state-of-the-art eFPGA parameters from the security viewpoint [3].…”
Section: Background 21 Threat Modelmentioning
confidence: 99%
See 3 more Smart Citations
“…This is the typical threat model for recent eFPGA redaction works [4,10]. In this scenario, the eFPGA security comes more from the fabric parameters and way the designer uses the fabrics rather than the specific redacted modules themselves [3,4]. We also assume the designers will use state-of-the-art eFPGA parameters from the security viewpoint [3].…”
Section: Background 21 Threat Modelmentioning
confidence: 99%
“…The modules to be redacted will drive the customization of the eFPGA. Using open source frameworks offers additional degrees of freedom to the designer, where one can tune many parameters, as shown in [3]. This will allow the user to come up with architectures that are most suitable for the given design.…”
Section: Custom Efpga Design Flowmentioning
confidence: 99%
See 2 more Smart Citations
“…Recent studies have shown that the security of eFPGA redaction is more related to the parameters of the eFPGA instance that is added to the design rather than the modules that are implemented on it [1,2]. However, the designers have to maximize the utilization of the reconfigurable logic and the I/O pins.…”
Section: Fpga Redactionmentioning
confidence: 99%