2021
DOI: 10.3390/nano11071689
|View full text |Cite
|
Sign up to set email alerts
|

Four-Period Vertically Stacked SiGe/Si Channel FinFET Fabrication and Its Electrical Characteristics

Abstract: In this paper, to solve the epitaxial thickness limit and the high interface trap density of SiGe channel Fin field effect transistor (FinFET), a four-period vertically stacked SiGe/Si channel FinFET is presented. A high crystal quality of four-period stacked SiGe/Si multilayer epitaxial grown with the thickness of each SiGe layer less than 10 nm is realized on a Si substrate without any structural defect impact by optimizing its epitaxial grown process. Meanwhile, the Ge atomic fraction of the SiGe layers is … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4
1

Citation Types

0
9
0

Year Published

2021
2021
2024
2024

Publication Types

Select...
8

Relationship

3
5

Authors

Journals

citations
Cited by 14 publications
(12 citation statements)
references
References 17 publications
0
9
0
Order By: Relevance
“…In other words, the thickness of bottom Si 0.7 Ge 0.3 is ~2 nm thicker than that of others. It is known that the epitaxial rate is strongly dependent on the crystallization of the under-layer, that is, the epitaxial rate might be decreased if multi-crystallization occurs in the under-layer [ 9 ]. At the same time, the thickness of Si is measured as 13.5, 12.7, 12.0 and 12.3 nm from top to bottom for the better release of Si 0.7 Ge 0.3 NW channel.…”
Section: Resultsmentioning
confidence: 99%
See 1 more Smart Citation
“…In other words, the thickness of bottom Si 0.7 Ge 0.3 is ~2 nm thicker than that of others. It is known that the epitaxial rate is strongly dependent on the crystallization of the under-layer, that is, the epitaxial rate might be decreased if multi-crystallization occurs in the under-layer [ 9 ]. At the same time, the thickness of Si is measured as 13.5, 12.7, 12.0 and 12.3 nm from top to bottom for the better release of Si 0.7 Ge 0.3 NW channel.…”
Section: Resultsmentioning
confidence: 99%
“…Among them, SiGe materials, especially those with Ge concentration between 20% and 40%, have been considered as the channel material of GAA devices. This is because they have higher electron and hole mobility, better negative bias temperature instability (NBTI) reliability [ 8 , 9 ] than Si and are more compatible with present Si platform [ 9 , 10 , 11 ]. However, the fabrication of stacked SiGe nanowire/nanosheet (NW/NS) GAA devices still face many challenges, such as a high-quality stacked SiGe/Si fin structure preparation, high selectively SiGe NW/NS release, inner spacer, source/drain (S/D) epitaxial process, etc.…”
Section: Introductionmentioning
confidence: 99%
“…On the other hand, the mobility of silicon channel may be promoted by even 2.5 to 4 times as SiGe is technically and sophisticatedly introduced stack by stack. The above advanced techniques and other options are definitely promising and achievable, making FinFET continuously popular as currently [6][7][8][9][10][11][12].…”
Section: Introductionmentioning
confidence: 99%
“…Among the present passivation methods, such as thermal oxidation [8][9][10][11] and plasma treatment (O 2 , N 2 or NH 3 ) [12][13][14][15][16], in-situ ozone oxidation is considered the most promising strategy [17][18][19][20]. This is because it not only achieves a high-quality IL with an in-situ low thermal budget, but it is also more suitable for advanced three-dimensional devices, such as fin field effect transistors (FinFETs) or gate-all-around field effect transistors, due to its isotropic characterization [19,21]. So far, studies have been carried out on low-temperature ozone oxidation in SiGe material under different oxidation process conditions [17,18].…”
Section: Introductionmentioning
confidence: 99%