Proceedings of the 33rd Annual Conference on Design Automation Conference - DAC '96 1996
DOI: 10.1145/240518.240641
|View full text |Cite
|
Sign up to set email alerts
|

Formal verification of PowerPC arrays using symbolic trajectory evaluation

Abstract: Verifying memory arrays such as on-chip caches and register files is a difficult part of designing a microprocessor. Current tools cannot verify the equivalence of the arrays to their behavioral or RTL models, nor their correct functioning at the transistor level. It is infeasible to run the number of simulation cycles required, and most formal verification tools break down due to the enormous number of state-holding elements in the arrays. The formal method of symbolic trajectory evaluation (STE) appears to o… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
13
0

Year Published

1997
1997
2006
2006

Publication Types

Select...
5
2

Relationship

0
7

Authors

Journals

citations
Cited by 35 publications
(13 citation statements)
references
References 11 publications
(10 reference statements)
0
13
0
Order By: Relevance
“…Previous authors have attributed complex timing, multiple clock phases, complex sequential control logic, and the large number of stateholding elements (state explosion) as their reason for using a simulation-based methodology for equivalence checking custom memories. 1,2,17 While these reasons are valid, the fundamental reason for using a simulation-based approach for custom memories is the prevalence of a certain class of custom-designed self-timed logic structures for which no Boolean function can be extracted using existing techniques.…”
Section: Completed Work and Resultsmentioning
confidence: 99%
See 2 more Smart Citations
“…Previous authors have attributed complex timing, multiple clock phases, complex sequential control logic, and the large number of stateholding elements (state explosion) as their reason for using a simulation-based methodology for equivalence checking custom memories. 1,2,17 While these reasons are valid, the fundamental reason for using a simulation-based approach for custom memories is the prevalence of a certain class of custom-designed self-timed logic structures for which no Boolean function can be extracted using existing techniques.…”
Section: Completed Work and Resultsmentioning
confidence: 99%
“…Prior work focused on establishing that functional properties held for two different representations. [1][2] No notion of completeness was established by their methodology. To the best of our knowledge, this is the first time that a rigorous symbolic simulation methodology has been used to prove the correctness of switch-level models with respect to the registertransfer-level (RTL) models for all modes of operation (functional and nonfunctional).…”
Section: Validating Powerpc Microprocessor Custom Memoriesmentioning
confidence: 99%
See 1 more Smart Citation
“…Such a technique would prove to be prohibitively expensive in case of symbolic simulation because using such an approach, the number of variables needed to represent a memory would be proportional to the number of bits in it. Therefore, symbolic simulation methods, more often than not, make use of symbolic addressing where the number of unique variables needed to represent a memory is proportional to the logarithm of the total number of bits in it [24]. One can further curtail the number of unique variables needed to represent a memory by using behavioral models.…”
Section: Efficient Memory Modelmentioning
confidence: 99%
“…They introduced the notion of modeling delay calculations based on Multi-Terminal BDDs in order to overcome, firstly, the performance shortcomings in doing exhaustive simulation and secondly, the problems of pessimistic results in static timing analysis. Checking the correspondence between the switch and the gate level views of the memory has been addressed by many researchers [8,14,18,24,25,31]. While others focussed on using STE to verify equivalence by proving functional properties on both the RTL and the transistor level view of circuits, Krishnamurthy et al reported work on generating assertions automatically from RTLs and cross-checking them against transistor level circuits [18].…”
Section: Related Workmentioning
confidence: 99%