38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'05)
DOI: 10.1109/micro.2005.1
|View full text |Cite
|
Sign up to set email alerts
|

"Flea-flicker" Multipass Pipelining: An Alternative to the High-Power Out-of-Order Offense

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
21
0

Publication Types

Select...
7
1

Relationship

0
8

Authors

Journals

citations
Cited by 28 publications
(21 citation statements)
references
References 20 publications
0
21
0
Order By: Relevance
“…Mutlu and Patt, and Barnes et al subsequently demonstrated the benefits of runahead execution in the context of out-of-order [21] and EPIC [3] microarchitectures, respectively. There have been a number of subsequent enhancements since then involving more sophisticated checkpoint mechanisms [1] as well as the ability to runahead without requiring the re-execution of subsequent instructions [28].…”
Section: Related Workmentioning
confidence: 99%
“…Mutlu and Patt, and Barnes et al subsequently demonstrated the benefits of runahead execution in the context of out-of-order [21] and EPIC [3] microarchitectures, respectively. There have been a number of subsequent enhancements since then involving more sophisticated checkpoint mechanisms [1] as well as the ability to runahead without requiring the re-execution of subsequent instructions [28].…”
Section: Related Workmentioning
confidence: 99%
“…Like Multipass [3], iCFP may make multiple rally passes over the slice buffer, initiating a pass every time a pending miss returns. Each rally pass processes fewer instructions, until the slice is completely processed.…”
Section: Advance and Rallymentioning
confidence: 99%
“…Like SLTP, iCFP un-blocks the pipeline on cache misses, drains miss-dependent instructions-along with their miss-independent side inputs-into a slice buffer and then re-executes only the slice when the miss returns. Re-executing only the miss-dependent slice gives SLTP and iCFP a performance advantage over techniques like Runahead execution [8] and "flea-flicker" Multipass pipelining [3], which un-block the pipeline on a miss but then re-process all post-miss instructions. iCFP has an additional advantage over SLTP.…”
Section: Introductionmentioning
confidence: 99%
“…Techniques like flea-flicker [Barnes et al 2003[Barnes et al , 2005 and dual-core execution [Zhou 2005] tolerate load miss latencies by holding missed loads and their dependent instructions in intra-or intercore queues for deferred processing by a second core. When the load miss is resolved, all instructions are committed in sequential order by the second core.…”
Section: Related Workmentioning
confidence: 99%