IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings.
DOI: 10.1109/iccd.2004.1347929
|View full text |Cite
|
Sign up to set email alerts
|

Fetch halting on critical load misses~~~~~~~~

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1

Citation Types

0
3
0

Publication Types

Select...
4
2
1

Relationship

0
7

Authors

Journals

citations
Cited by 9 publications
(3 citation statements)
references
References 26 publications
0
3
0
Order By: Relevance
“…Selective throttling [2] focuses on reducing energy dissipated by wrong-path instructions and dynamically chooses the optimal throttling technique applied to each branch depending on the branch prediction confidence level. Fetch halting [14] stops fetching instructions when long-latency critical load misses occur to reduce early-fetched instructions. In [3,6,9,15,16], the resources of superscalar processors, such as issue queue, reorder buffer, and load/store queue, are dynamically re-sized according to program needs to reduce the energy consumed by these resources.…”
Section: Related Workmentioning
confidence: 99%
“…Selective throttling [2] focuses on reducing energy dissipated by wrong-path instructions and dynamically chooses the optimal throttling technique applied to each branch depending on the branch prediction confidence level. Fetch halting [14] stops fetching instructions when long-latency critical load misses occur to reduce early-fetched instructions. In [3,6,9,15,16], the resources of superscalar processors, such as issue queue, reorder buffer, and load/store queue, are dynamically re-sized according to program needs to reduce the energy consumed by these resources.…”
Section: Related Workmentioning
confidence: 99%
“…Prior works [8,9,14,23,26,36,49,50,54,57] have proposed various energy-saving techniques that dynamically allocate datapath resources according to the needs of applications. These energysaving techniques suffer from two problems.…”
Section: Dynamic Core Scalingmentioning
confidence: 99%
“…Selective throttling [6] focuses on reducing energy dissipated by wrong-path instructions and dynamically chooses the optimal throttling technique applied to each branch depending on the branch prediction confidence level. Fetch halting [50] stops fetching instructions when long-latency critical load misses occur to reduce early-fetched instructions.…”
Section: Front-end Throttlingmentioning
confidence: 99%