2019 29th International Conference on Field Programmable Logic and Applications (FPL) 2019
DOI: 10.1109/fpl.2019.00027
|View full text |Cite
|
Sign up to set email alerts
|

Extracting INT8 Multipliers from INT18 Multipliers

Abstract: With the advent of machine learning as perhaps the most high-profile application area for FPGAs, there is a compelling reason to improve the provision of smaller precision arithmetic on these devices. INT8 is commonly used for AI inferencing, and along with some additional soft logic for exponent handling, can be an effective solution for training as well. This paper describes techniques for efficiently extracting INT8 multipliers from commonly available INT18 multipliers found in many modern FPGAs. A small am… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
2

Citation Types

1
9
0

Year Published

2020
2020
2024
2024

Publication Types

Select...
4
1

Relationship

0
5

Authors

Journals

citations
Cited by 17 publications
(10 citation statements)
references
References 6 publications
(7 reference statements)
1
9
0
Order By: Relevance
“…[19] showed methods to extract two INT8 multipliers from Xilinx DSP48E2 Blocks which contain a 27x18 multiplier. [20] illustrated a method to pack 2 INT8 multiplications into one INT18 multiplier with extra ALMs. Both these methods require two multiplications to share one input operand.…”
Section: B Fpga Dsp Sharing For 8-bit Multiplicationsmentioning
confidence: 99%
See 1 more Smart Citation
“…[19] showed methods to extract two INT8 multipliers from Xilinx DSP48E2 Blocks which contain a 27x18 multiplier. [20] illustrated a method to pack 2 INT8 multiplications into one INT18 multiplier with extra ALMs. Both these methods require two multiplications to share one input operand.…”
Section: B Fpga Dsp Sharing For 8-bit Multiplicationsmentioning
confidence: 99%
“…With our column-wise MVM using in RNN designs, one column of the weights matrix naturally shares the same element of the input vector and conducts the multiplications at the same time. Thus, these multiplications share one input operand, which helps us to pack four INT8 multiplications into one DSP blocks on Intel FPGAs [20] to reduce the hardware resources.…”
Section: B Fpga Dsp Sharing For 8-bit Multiplicationsmentioning
confidence: 99%
“…Much previous work covers the efficient realization of exact multipliers on FPGAs [7]- [21]. They can be divided into logic-based multipliers [9], [11]- [13], [17], [18], DSP-based multipliers [14], [19], logic/DSP hybrid methods [7], [8], [15], [16], [21] and the efficient summation of partial products in compressor trees [10], [22], [23].…”
Section: Introductionmentioning
confidence: 99%
“…An efficient mapping for Xilinx FPGAs of a Booth multiplier was proposed in [11]- [13]. In [18], [19], an efficient LUT mapping for small multipliers uses the fractal synthesis approach. To reduce the under-utilization of DSPs, schemes to fit two smaller multiplications in one DSP were studied in [14], [19], [20].…”
Section: Introductionmentioning
confidence: 99%
See 1 more Smart Citation