2022
DOI: 10.1117/1.jmm.21.4.043202
|View full text |Cite
|
Sign up to set email alerts
|

Extend 0.33 NA extreme ultraviolet single patterning to pitch 28-nm metal design by low-n mask

Abstract: Extending 0.33 NA extreme ultraviolet single patterning to 28-nm pitch becomes challenging in stochastic defectivity, which demands high-contrast lithographic images. The low-n attenuated phase-shift mask (attPSM) can provide superior solutions for individual pitches by mitigating mask three-dimensional effects. The simulation and experiment results have shown substantial imaging improvements: higher depth of focus at similar normalized image log slope and smaller telecentricity error values than the best bina… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
12
0

Year Published

2023
2023
2024
2024

Publication Types

Select...
4
2

Relationship

1
5

Authors

Journals

citations
Cited by 6 publications
(12 citation statements)
references
References 27 publications
0
12
0
Order By: Relevance
“…140nm. 1 The further studies indicate that this phenomena is due to pronounced source-dependent mask 3D effects, and the phase offset among the diffraction orders results in the imaging pattern shifts. 10,11 Therefore, it is very interesting to know the best focus shifts behavior for the low-n attPSM at 0.55NA.…”
Section: Best Focus Shifts Through Pitchmentioning
confidence: 91%
See 2 more Smart Citations
“…140nm. 1 The further studies indicate that this phenomena is due to pronounced source-dependent mask 3D effects, and the phase offset among the diffraction orders results in the imaging pattern shifts. 10,11 Therefore, it is very interesting to know the best focus shifts behavior for the low-n attPSM at 0.55NA.…”
Section: Best Focus Shifts Through Pitchmentioning
confidence: 91%
“…Extreme ultraviolet lithography (EUVL) with a NA of 0.33 has been part of high-volume manufacturing (HVM) over the past few years, which promises the opportunity of EUV single patterning to print minimum pitch 28nm logic metal layer using an advanced low-n low-k absorber attenuated phase-shift mask (low-n attPSM) at the technology factor k 1 =0.34. 1 To continue the shrink of the transistor and enable the cost-effective manufacturing for next decade, a higher resolution lithography tool is needed. Therefore, the new high-NA EUVL with a NA of 0.55 is being developed at ASML.…”
Section: Introductionmentioning
confidence: 99%
See 1 more Smart Citation
“…In the past years, masks with low-n absorber have been evaluated for their dose and contrast benefit. [3][4][5][6] Figure 1(a) plots the experimental exposure latitude through pitch for equal lines/spaces (LS) at NA0.33 of a low-n mask versus a Ta-based reference mask with their respective optimized illumination pupil for pitch 28 nm LS. The exposure dose at each pitch was selected to print equal LS on mask to equal LS on wafer, as shown in Fig.…”
Section: Novel Absorber Maskmentioning
confidence: 99%
“…Therefore, the SMO process should include through-pitch L/S and T2T structures as input patterns. We conducted a throughpitch SMO while imposing a higher weighting on the minimum metal pitch since it is the most critical pattern in logic metal layer patterning and requires a sufficient level of contrast or NILS [7][8][9] . This approach is demonstrated in Figure 2.1, where the SMO results show four different cases optimized for different patterns.…”
Section: Optimization Of Through-pitch Smomentioning
confidence: 99%