Proceedings of the 49th Annual Design Automation Conference 2012
DOI: 10.1145/2228360.2228581
|View full text |Cite
|
Sign up to set email alerts
|

Exploiting narrow-width values for process variation-tolerant 3-D microprocessors

Abstract: Process variation is a challenging problem in 3D microprocessors, since it adversely affects performance, power, and reliability of 3D microprocessors, which in turn results in yield losses. In this paper, we propose a novel architectural scheme that exploits the narrow-width value for yield improvement of last-level caches in 3D microprocessors. In a energy-/performance-efficient manner, our proposed scheme improves cache yield by 58.7% and 17.3% compared to the baseline and the naïve way-reduction scheme (th… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2
1

Citation Types

0
17
0

Year Published

2013
2013
2020
2020

Publication Types

Select...
3
3

Relationship

3
3

Authors

Journals

citations
Cited by 11 publications
(17 citation statements)
references
References 30 publications
0
17
0
Order By: Relevance
“…• We propose a novel leakage-optimized PV-aware LLC architecture with a small area overhead (∼10%), which enables yield improvement and cache energy saving with a small performance overhead; • Our new architecture enables near-optimal leakage energy savings in the LLC by considering the data type information and turning on cache portions that store meaningful data; • Our design-time technique for leakage-induced yield loss recovery further improves microprocessor LLC yield by up to 10% compared to our previously proposed architecture [3] and shows considerable elimination of the leakage-induced yield losses; • We provide energy and performance evaluation results with various fault rates. The results in the case of the high fault rates enable a futuristic projection for our cache architecture (i.e., in the case of using more advanced process technologies).…”
Section: Introductionmentioning
confidence: 91%
See 3 more Smart Citations
“…• We propose a novel leakage-optimized PV-aware LLC architecture with a small area overhead (∼10%), which enables yield improvement and cache energy saving with a small performance overhead; • Our new architecture enables near-optimal leakage energy savings in the LLC by considering the data type information and turning on cache portions that store meaningful data; • Our design-time technique for leakage-induced yield loss recovery further improves microprocessor LLC yield by up to 10% compared to our previously proposed architecture [3] and shows considerable elimination of the leakage-induced yield losses; • We provide energy and performance evaluation results with various fault rates. The results in the case of the high fault rates enable a futuristic projection for our cache architecture (i.e., in the case of using more advanced process technologies).…”
Section: Introductionmentioning
confidence: 91%
“…First, we describe our PV-aware data storing mechanism [3] in Section 4.1. We then explain our leakage optimization technique which can be built on the top of our PV-aware 3D L2 cache architecture [3].…”
Section: Our Energy-efficient 3d L2 Cache Architecture For Pv-tolerancementioning
confidence: 99%
See 2 more Smart Citations
“…The narrow-width values in high-performance microprocessors have been well studied and exploited for performance, power, and reliability optimizations in register files and caches [11][12] [13]. In general, values with leading '0's are referred to as narrow-width values.…”
Section: Narrow Width Value For Lifetime Improvement a Narrow Wmentioning
confidence: 99%