2012 17th Ieee European Test Symposium (Ets) 2012
DOI: 10.1109/ets.2012.6233017
|View full text |Cite
|
Sign up to set email alerts
|

Exact stuck-at fault classification in presence of unknowns

Abstract: Fault simulation is an essential tool in electronic design automation. The accuracy of the computation of fault coverage in classic n-valued simulation algorithms is compromised by unknown (X) values. This results in a pessimistic underestimation of the coverage, and overestimation of unknown (X) values at the primary and pseudo-primary outputs. This work proposes the first stuck-at fault simulation algorithm free of any simulation pessimism in presence of unknowns. The SAT-based algorithm exactly classifies a… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
15
0

Year Published

2013
2013
2016
2016

Publication Types

Select...
4
1
1

Relationship

4
2

Authors

Journals

citations
Cited by 10 publications
(15 citation statements)
references
References 18 publications
0
15
0
Order By: Relevance
“…The proposed ATPG algorithm extends the algorithms presented in [9,10] to allow accurate multi-cycle test generation and fault simulation, as well as to increase runtime efficiency. The fault simulation algorithm in [9] computes the exact fault coverage of a test set in presence of X-values free of any simulation pessimism.…”
Section: Accurate Multi-cycle Atpg Algorithmmentioning
confidence: 98%
See 2 more Smart Citations
“…The proposed ATPG algorithm extends the algorithms presented in [9,10] to allow accurate multi-cycle test generation and fault simulation, as well as to increase runtime efficiency. The fault simulation algorithm in [9] computes the exact fault coverage of a test set in presence of X-values free of any simulation pessimism.…”
Section: Accurate Multi-cycle Atpg Algorithmmentioning
confidence: 98%
“…The fault simulation algorithm in [9] computes the exact fault coverage of a test set in presence of X-values free of any simulation pessimism. The work in [10] is the first accurate ATPG system in presence of X-values.…”
Section: Accurate Multi-cycle Atpg Algorithmmentioning
confidence: 99%
See 1 more Smart Citation
“…More accurate or even fully accurate fault simulation can be performed even for large circuits by a combination of heuristics and SAT reasoning and allows a significant increase of fault coverage [22][23][24]. In principle, both logic and fault simulation in presence of X-values are NP-complete problems.…”
Section: Unknown Values In Circuit Testmentioning
confidence: 99%
“…The recent progress in SAT solvers enables the exact reasoning about fault detection in presence of X-values even for larger circuits [Hillebrecht et al 2012]. This work proposes a formal method to exactly compute the stuck-at and transition-delay fault coverage of a test set in presence of X-values.…”
Section: A:3mentioning
confidence: 99%