2022
DOI: 10.35848/1347-4065/ac5534
|View full text |Cite
|
Sign up to set email alerts
|

Evolution of patterning materials towards the Moore’s Law 2.0 Era

Abstract: In this paper, the utilization of lithographic materials for semiconductor patterning applications based on optical and EUV radiation sources is reviewed. Photoresist platforms including novolac, chemically amplified, chain scission, molecular and inorganic materials are discussed in relation to their chemistry, design, processing, and performance. If the focus of Moore's Law 1.0 was on laterally scaling the number of components on a single chip, Moore's Law 2.0 can be understood as the coming era of 3D scalin… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
5

Citation Types

0
16
0

Year Published

2022
2022
2024
2024

Publication Types

Select...
7
1

Relationship

0
8

Authors

Journals

citations
Cited by 21 publications
(16 citation statements)
references
References 95 publications
0
16
0
Order By: Relevance
“…As predicted by Moore’s Law, the density of integrated circuits (ICs) has been improved exponentially for high-performance semiconductor devices and the photolithographic fabrication of nanoscale semiconductor devices requires increasingly high-resolution techniques. , Extreme ultraviolet lithography (EUVL) and electron beam lithography (EBL) are key advanced lithographic technologies for the production of feature sizes lower than 20 nm. As the feature size decreases, the requirements for resists’ performance have gradually increased. It is still a great challenge to develop resist materials fulfilling all the requirements for advanced lithography such as high resolution (R) and sensitivity (S), low line edge roughness (LER), high etching resistance, and low outgassing. The traditional polymeric materials are unfavorable for achieving high-resolution lithographic patterns with low LER due to their characteristics, such as polydispersity, large molecular size, chain entanglement, and poor compatibility with photoacid generators (PAGs). …”
Section: Introductionmentioning
confidence: 99%
“…As predicted by Moore’s Law, the density of integrated circuits (ICs) has been improved exponentially for high-performance semiconductor devices and the photolithographic fabrication of nanoscale semiconductor devices requires increasingly high-resolution techniques. , Extreme ultraviolet lithography (EUVL) and electron beam lithography (EBL) are key advanced lithographic technologies for the production of feature sizes lower than 20 nm. As the feature size decreases, the requirements for resists’ performance have gradually increased. It is still a great challenge to develop resist materials fulfilling all the requirements for advanced lithography such as high resolution (R) and sensitivity (S), low line edge roughness (LER), high etching resistance, and low outgassing. The traditional polymeric materials are unfavorable for achieving high-resolution lithographic patterns with low LER due to their characteristics, such as polydispersity, large molecular size, chain entanglement, and poor compatibility with photoacid generators (PAGs). …”
Section: Introductionmentioning
confidence: 99%
“…Unlike the preceding 193 nm ArF immersion lithography, incorporating EUV lithography (EUVL) into HVM, however, has not been an easy transition. [1] One of the critical challenges that must be addressed for the EUVL process is a need for suitable photoresist that enables highperformance patternability at EUV wavelength. Traditional photoresists like well-known chemically amplified resists (CARs), which are predominantly made of carbon and oxygen as elements, exhibit poor intrinsic EUV sensitivity, resulting in a lower throughput.…”
Section: Introductionmentioning
confidence: 99%
“…Unlike the preceding 193 nm ArF immersion lithography, incorporating EUV lithography (EUVL) into HVM, however, has not been an easy transition. [ 1 ]…”
Section: Introductionmentioning
confidence: 99%
“…LER indicates that both ends of a line pattern that are designed as straight lines actually have roughness. LER affects device performance and manufacturing yield (e.g., via defect generation) and is a performance metric for various technologies such as extreme ultraviolet scanners [3], photoresist materials [4,5], and etching technology [6,7]. The progress in pattern shrinkage has led to an increase in the relative size of the LER to the critical dimension (CD), and the accuracy requirements for the LER measurement technology have increased accordingly.…”
Section: Introductionmentioning
confidence: 99%