2014
DOI: 10.2494/photopolymer.27.631
|View full text |Cite
|
Sign up to set email alerts
|

EUV Resist Chemical Analysis by Soft X-ray Absorption Spectroscopy for High Sensitivity Achievement

Abstract: Simultaneous achievement of high sensitivity and low line edge roughness (LWR) is necessary in EUV resist. The chemical reaction analysis of EUV (Extreme Ultraviolet) chemical amplified (CA) resist and acid diffusion length evaluation was carried out. In order to achieve low LWR of the CA resist, the large chemical structure of the anion of photoacid generator (PAG) is required for shortening the acid diffusion length. On the other hand, in order to increase the sensitivity, on the basis of the chemical reacti… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
20
0

Year Published

2015
2015
2019
2019

Publication Types

Select...
6
2

Relationship

3
5

Authors

Journals

citations
Cited by 15 publications
(20 citation statements)
references
References 23 publications
0
20
0
Order By: Relevance
“…TEY result around C K region of three polymers which were coated on Si wafers individually. Figure 4 shows XAS result of the three polymers coated on Si wafers measured by the total electron yield (TEY) method at the BL-10 beamline described in the paper [7]. The TEY signal depends on the absorption of its sample, where the large TEY signal indicates large absorption.…”
Section: Rsoxs Methodsmentioning
confidence: 99%
See 1 more Smart Citation
“…TEY result around C K region of three polymers which were coated on Si wafers individually. Figure 4 shows XAS result of the three polymers coated on Si wafers measured by the total electron yield (TEY) method at the BL-10 beamline described in the paper [7]. The TEY signal depends on the absorption of its sample, where the large TEY signal indicates large absorption.…”
Section: Rsoxs Methodsmentioning
confidence: 99%
“…We have developed this method for characterizing extreme-ultraviolet (EUV) resist materials at NewSUBARU BL-10 beamline [1][2][3][4][5][6][7]. Under EUV exposure, from the absorption peak value and the chemical shift in the X-ray absorption spectra, the chemical bonding changes can be estimated at the C K region.…”
Section: Introductionmentioning
confidence: 99%
“…Since it is found that the decomposition reaction of the fluorine which consist of the onium salts employed as a PAG is significant in the previous study [15][16][17], we increase the detection sensitivity in the TEY method by refinement of the monochromator at the BL10 beamline. The W/Si aperiodic multilayer coated grating is employed for the monochromator to extend the photon energy region from 500 to 1100 eV as shown in Fig.…”
Section: Euv Resist Chemical Reaction Analysis Using X-ray Absorptionmentioning
confidence: 99%
“…The sample was poly hydroxyl styreneco-tert-butylacrylate (PHS-TBA) resist with a photoacid generator of tri-phenyl sulfonium nonaflate (TPS-nonaflate), which measured in previous paper [5]. The resist film thickness of 50 nm was spin-coated on a wafer.…”
Section: Xas Measurement Of Euv Resistmentioning
confidence: 99%
“…For example, deterioration mechanism of tire rubber and engine oil were analyzed [2,3]. In addition, we analyzed the chemical reaction of the extreme ultraviolet (EUV) chemically amplified (CA) resist using BL-7 XAS system [5]. We measured XAS spectrum around the fluorine absorption energy edge of 697 eV to evaluate the decomposition reaction of photoacid generator (PAG) of CA EUV resist during EUV exposure.…”
Section: Introductionmentioning
confidence: 99%