2016
DOI: 10.1149/2.0021612jes
|View full text |Cite
|
Sign up to set email alerts
|

Electrochemical Atomic Layer Deposition of Copper: A Lead-Free Process Mediated by Surface-Limited Redox Replacement of Underpotentially Deposited Zinc

Abstract: A novel process for electrochemical atomic layer deposition (e-ALD) of copper is presented. In this process, a sacrificial monolayer of zinc (Zn) is formed via underpotential deposition (UPD) on a copper (Cu) or ruthenium (Ru) substrate. The sacrificial Zn monolayer then undergoes surface-limited redox replacement (SLRR) by nobler Cu. This provides a monolayer of Cu on the substrate surface. UPD-SLRR cycles are repeated to build multi-layers of Cu with controlled thickness while minimizing surface roughness. T… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1

Citation Types

1
26
0

Year Published

2016
2016
2022
2022

Publication Types

Select...
6
2

Relationship

2
6

Authors

Journals

citations
Cited by 22 publications
(27 citation statements)
references
References 35 publications
(43 reference statements)
1
26
0
Order By: Relevance
“…From the slope of this curve, an equivalent etch rate was computed to be 0.103 (10.3%) per etch cycle. Since the original un-etched electrode was prepared by depositing 10 atomic layers of Cu via e-ALD, 5 one expects ∼10 cycles of e-ALE to be required to etch this film completely which is consistent with the measured etch rate of ∼10% per e-ALE cycle. This suggests an etch rate of ∼1 Cu monolayer per e-ALE cycle.…”
Section: Resultssupporting
confidence: 69%
See 1 more Smart Citation
“…From the slope of this curve, an equivalent etch rate was computed to be 0.103 (10.3%) per etch cycle. Since the original un-etched electrode was prepared by depositing 10 atomic layers of Cu via e-ALD, 5 one expects ∼10 cycles of e-ALE to be required to etch this film completely which is consistent with the measured etch rate of ∼10% per e-ALE cycle. This suggests an etch rate of ∼1 Cu monolayer per e-ALE cycle.…”
Section: Resultssupporting
confidence: 69%
“…5 Cu e-ALD for 10 cycles was performed on a sputter-deposited Ru substrate to form a ∼2 nm Cu film with RMS surface roughness of ∼0.2 nm. Surface-limited sulfidization of Cu was performed at -0.75 V vs. SHE in an alkaline Na 2 S-containing electrolyte (composition reported above).…”
Section: Methodsmentioning
confidence: 99%
“…In recent development, R. Akolkar et al employed a one-cell SLRR approachfor the growth of Cu with Zn UPD as a sacrificial layer in alkaline media thus realizing a Pb-free Cu deposition routine [81]. Following this protocol each SLRR step provides a monolayer of Cu on the substrate surface.…”
Section: Cu Depositionmentioning
confidence: 99%
“…Voltammetry studies of Zn upd on Co and Ru substrates.-Zn is known to exhibit underpotential deposition on Cu, 42,44,45 Ni, 46 Pt, 47,48 and Au. 48 In our work, LSV measurements of Zn upd were performed on PVD-Ru and PVD-Co substrates.…”
Section: Resultsmentioning
confidence: 99%
“…The growth protocol for e-ALD Co consisted of STEP-1 and STEP-2 repeated cyclically: The relatively large Co 2+ concentration employed in STEP-2, i.e., 100 mM, accelerated the SLRR kinetics compared to previously reported SLRR kinetics. 42 Thus, the SLRR process was terminated after just 60 s which allowed the redox replacement reaction to proceed to near completion.…”
mentioning
confidence: 99%