IEEE/SEMI Conference and Workshop on Advanced Semiconductor Manufacturing 2005. 2005
DOI: 10.1109/asmc.2005.1438766
|View full text |Cite
|
Sign up to set email alerts
|

Edge and bevel automated defect inspection for 300mm production wafers in manufacturing

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1

Citation Types

0
3
0

Year Published

2006
2006
2023
2023

Publication Types

Select...
5
3

Relationship

0
8

Authors

Journals

citations
Cited by 10 publications
(3 citation statements)
references
References 0 publications
0
3
0
Order By: Relevance
“…Defect inspection concepts or technologies are under development or have to be realized within the next years. Currently, it is a key challenge to find a method for the root cause inspection of wafer edge and backside (30). Important criteria beside coverage of all areas, sensitivity and speed are ADC (automated defect classification and characterization) and optical review capability on the tool as well as a standard result file allowing SEM (secondary electron microscope) review.…”
Section: Inspection and Characterization Of The Wafer Edgementioning
confidence: 99%
“…Defect inspection concepts or technologies are under development or have to be realized within the next years. Currently, it is a key challenge to find a method for the root cause inspection of wafer edge and backside (30). Important criteria beside coverage of all areas, sensitivity and speed are ADC (automated defect classification and characterization) and optical review capability on the tool as well as a standard result file allowing SEM (secondary electron microscope) review.…”
Section: Inspection and Characterization Of The Wafer Edgementioning
confidence: 99%
“…As CMP (chemical mechanical polishing) process can not control the film status at bevel area, the bevel films normally consist of dielectric film, metal film and CMP residua. Besides the out-of-control uniformity, the poor adhesion among these films tends to lead to the peeling defect generation [1,2,3] . Three kinds of methods usually used to clean the bevel and wafer extreme edge area include bevel polishing, wet clean and plasma etch [3] .…”
Section: Introductionmentioning
confidence: 99%
“…Recently, particle on the wafer bevel and the edge become serious defects that influence yield [1][2][3] . For instance, when a wafer is dipped in diluted hydro fluoric acid (DHF) with wet bench, particle on the wafer bevel and edge relocated to the wafer surface (Figure 1).…”
Section: Introductionmentioning
confidence: 99%