2020
DOI: 10.1007/978-3-030-52794-5_4
|View full text |Cite
|
Sign up to set email alerts
|

ECC Memory for Fault Tolerant RISC-V Processors

Abstract: Numerous processor cores based on the popular RISC-V Instruction Set Architecture have been developed in the past few years and are freely available. The same applies for RISC-V ecosystems that allow to implement System-on-Chips with RISC-V processors on ASICs or FPGAs. However, so far only very little concepts and implementations for fault tolerant RISC-V processors are existing. This inhibits the use of RISC-V for safety-critical applications (as in the automotive domain) or within radiation environments (as… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1

Citation Types

0
7
0

Year Published

2021
2021
2025
2025

Publication Types

Select...
3
2
1

Relationship

1
5

Authors

Journals

citations
Cited by 8 publications
(7 citation statements)
references
References 6 publications
0
7
0
Order By: Relevance
“…The BOOM processor requires 4 additional arrays (BTB tag/data, Bi-Modal Table, and TAGE entries), resulting in a total of 9 arrays. All arrays are protected against bitflips by an ECC enhanced memory, which has been introduced in [25] and provides several configuration options (Listing 3). Parity (without correction capability but only low resource overhead), SEC, and SEC-DED are selectable as ecc_code The block_size is customizable.…”
Section: Error Correction Codes (Ecc)mentioning
confidence: 99%
“…The BOOM processor requires 4 additional arrays (BTB tag/data, Bi-Modal Table, and TAGE entries), resulting in a total of 9 arrays. All arrays are protected against bitflips by an ECC enhanced memory, which has been introduced in [25] and provides several configuration options (Listing 3). Parity (without correction capability but only low resource overhead), SEC, and SEC-DED are selectable as ecc_code The block_size is customizable.…”
Section: Error Correction Codes (Ecc)mentioning
confidence: 99%
“…However, SHAKTI-F only considers inspections in the execution stage, ignoring exceptions in other pipelines. Alexander et al [9] focused on improving the fault tolerance of the RISC-V processor in the storage architecture. They designed the ECC module based on the characteristics of the Chisel language and successfully transplanted it to the rocket and other processor cores without considering the internal core reliability.…”
Section: Related Workmentioning
confidence: 99%
“…The fault tolerance of the processor core depends on its design architecture, and commercial processor cores are often in a black box state, which makes it difficult to harden the fault tolerance [7]. The fault tolerance for storage space often uses Hamming code or ECC check technology [8,9], but Hamming code can only correct 1-bit errors, not multi-bit errors. The trigger design [10] based on Triple Modular Redundancy (TMR) technology can effectively improve fault tolerance, however, it uses many more resources.…”
Section: Introductionmentioning
confidence: 99%
See 1 more Smart Citation
“…RISC-V is quickly being adopted in the space sector [8] as a replacement for the aging SPARC ISA and opens strong opportunities to develop highly reliable architectures. Recent studies [9]- [11] show that the number of fault-tolerant RISC-V cores that prevent SEUs and MBUs is still limited. While this is still true, the number of researchers and the industry that is developing fault-tolerance solutions for RISC-V is growing.…”
Section: Introductionmentioning
confidence: 99%